Publications by Krishnendu Chakrabarty.

search .

Books

  1. Hu, K; Chakrabarty, K; Ho, TY, Computer-aided design of microfluidic very large scale integration (mVLSI) biochips: Design automation, testing, and design-for-testability (April, 2017), pp. 1-142, Springer International Publishing [doi]  [abs].
  2. Wang, R; Chakrabarty, K, Testing of interposer-based 2.5D integrated circuits (March, 2017), pp. 1-182, Springer International Publishing [doi]  [abs].
  3. Ye, F; Zhang, Z; Chakrabarty, K; Gu, X, Knowledge-driven board-level functional fault diagnosis (January, 2017), pp. 1-147, Springer International Publishing [doi]  [abs].
  4. Goel, SK; Chakrabarty, K, Preface (January, 2017), pp. x-xii, CRC Press [doi]  [abs].
  5. Luo, Y; Chakrabarty, K; Ho, TY, Hardware/software co-design and optimization for cyberphysical integration in digital microfluidic biochips (January, 2015), pp. 1-197, Springer International Publishing [doi]  [abs].
  6. Duan, Q; Chakrabarty, K; Zeng, J, Data-driven optimization and knowledge discovery for an enterprise information system (January, 2015), pp. 1-160, Springer International Publishing [doi]  [abs].
  7. Noia, B; Chakrabarty, K, Design-for-test and test optimization techniques for TSV-based 3D stacked ICs (January, 2014), pp. 1-245, Springer International Publishing [doi]  [abs].
  8. Zhao, Y; Chakrabarty, K, Design and testing of digital microfluidic biochips, vol. 9781461403708 (August, 2013), pp. 1-202, Springer New York [doi]  [abs].
  9. Pande, PP; Ganguly, A; Chakrabarty, K, Preface (January, 2013), pp. v-vi, Springer New York [doi]  [abs].
  10. Tehranipoor, M; Peng, K; Chakrabarty, K, Test and Diagnosis for Small-Delay Defects (2012), Springer New York [doi] .
  11. Tehranipoor, M; Peng, K; Chakrabarty, K, Test and diagnosis for small-delay defects, vol. 9781441982971 (November, 2010), pp. 1-212, Springer New York [doi]  [abs].
  12. Chakrabarty, K; Xu, T, Digital microfluidic biochips: Design automation and optimization (May, 2010), pp. 1-213, CRC Press  [abs].
  13. Chakrabarty, K; Su, F, Digital Microfluidic Biochips - Synthesis, Testing, and Reconfiguration Techniques. (2007), pp. 1-228, CRC Press .
  14. Chakrabarty, K; Iyengar, SS, Scalable infrastructure for distributed sensor networks (December, 2005), pp. 1-194, Springer Verlag [doi]  [abs].
  15. Zhang, T; Chakrabarty, K; Fair, RB, Microelectrofluidic systems: Modeling and simulation (January, 2002), pp. 1-262  [abs].
  16. Chakrabarty, K; Iyengar, V; Chandra, A, Test Resource Partitioning for System-on-a-Chip., vol. 20 (2002), Kluwer/Springer .

Papers Published

  1. Chakrabarti, A; Chakrabarty, K, A METHOD TO MITIGATE THE IMPACT OF CYCLING OPERATION AND CARBON EMISSIONS, International Journal of Energy for a Clean Environment, vol. 23 no. 3 (January, 2022), pp. 77-103 [doi]  [abs].
  2. Das, MK; Bera, P; Sarkar, PP; Chakrabarty, K, MOGOA Based RLNN Controller for LFC of Three Area Deregulated HDG Power System, 2021 Ieee 4th International Conference on Computing, Power and Communication Technologies, Gucon 2021 (September, 2021) [doi]  [abs].
  3. Das, MK; Bera, P; Sarkar, P; Chakrabarty, K, PI-RLNN Controller for LFC of Hybrid Deregulated Power System Based on SPOA, Proceedings of the 2021 Ieee 18th India Council International Conference, Indicon 2021 (January, 2021) [doi]  [abs].
  4. Kundu, S; Chatterjee, D; Chakrabarty, K, Bifurcation Control of Single Phase PWM Semi-Converter Fed DC Series Motor Drive, 2020 Ieee International Conference for Convergence in Engineering, Icce 2020 Proceedings (September, 2020), pp. 100-104 [doi]  [abs].
  5. Moradi, Y; Ibrahim, M; Chakrabarty, K; Schlichtmann, U, An Efficient Fault-Tolerant Valve-Based Microfluidic Routing Fabric for Droplet Barcoding in Single-Cell Analysis, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 39 no. 2 (February, 2020), pp. 359-372, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  6. Adhikary, S; Chatterjee, D; Chakrabarty, K, Control of Bifurcation in a PWM Controlled dc Series Motor Drive, 2020 Ieee Calcutta Conference, Calcon 2020 Proceedings (February, 2020), pp. 263-267 [doi]  [abs].
  7. Tang, J; Ibrahim, M; Chakrabarty, K; Karri, R, Synthesis of Tamper-Resistant Pin-Constrained Digital Microfluidic Biochips, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 39 no. 1 (January, 2020), pp. 171-184, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  8. Chakrabarti, A; Chakrabarty, K, A Proposal to Adjust the Time-Keeping Systems for Savings in Cycling Operation and Carbon Emission, Journal of the Institution of Engineers (India): Series B, vol. 100 no. 6 (December, 2019), pp. 541-550 [doi]  [abs].
  9. Zhong, Z; Li, Z; Chakrabarty, K; Ho, T-Y; Lee, C-Y, Micro-Electrode-Dot-Array Digital Microfluidic Biochips: Technology, Design Automation, and Test Techniques., Ieee Transactions on Biomedical Circuits and Systems, vol. 13 no. 2 (April, 2019), pp. 292-313, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  10. Patra, A; Chakrabarty, K; Nag, T, Control of chaos in BLDC motor drive, Proceedings of 2018 Ieee Applied Signal Processing Conference, Aspcon 2018 (December, 2018), pp. 356-359 [doi]  [abs].
  11. Shayan, M; Bhattacharjee, S; Liang, TC; Tang, J; Chakrabarty, K; Karri, R, Shadow attacks on MEDA biochips, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad (November, 2018), ACM Press [doi]  [abs].
  12. Jin, S; Zhang, Z; Chakrabarty, K; Gu, X, Failure prediction based on anomaly detection for complex core routers, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad (November, 2018), ACM Press [doi]  [abs].
  13. Das, S; Basu, K; Doppa, JR; Pande, PP; Karri, R; Chakrabarty, K, Abetting Planned Obsolescence by Aging 3D Networks-on-Chip, 2018 12th Ieee/Acm International Symposium on Networks on Chip, Nocs 2018 (October, 2018), IEEE [doi]  [abs].
  14. Sharma, S; Chakrabarty, K; Roy, S, On designing all-optical multipliers using Mach-zender interferometers, Proceedings 21st Euromicro Conference on Digital System Design, Dsd 2018 (October, 2018), pp. 672-679, IEEE [doi]  [abs].
  15. Zhong, Z; Li, Z; Chakrabarty, K, Adaptive and Roll-Forward Error Recovery in MEDA Biochips Based on Droplet-Aliquot Operations and Predictive Analysis, Ieee Transactions on Multi Scale Computing Systems, vol. 4 no. 4 (October, 2018), pp. 577-592 [doi]  [abs].
  16. Shalu, ; Kumar, S; Singla, A; Roy, S; Chakrabarty, K; Chakrabarti, PP; Bhattacharya, BB, Demand-driven single- and multitarget mixture preparation using digital microfluidic biochips, Acm Transactions on Design Automation of Electronic Systems, vol. 23 no. 4 (July, 2018), pp. 1-26, Association for Computing Machinery (ACM) [doi]  [abs].
  17. Liu, M; Xia, L; Wang, Y; Chakrabarty, K, Design of fault-Tolerant neuromorphic computing systems, Proceedings of the European Test Workshop, vol. 2018-May (June, 2018), pp. 1-9 [doi]  [abs].
  18. Tang, J; Ibrahim, M; Chakrabarty, K; Karri, R, Secure Randomized Checkpointing for Digital Microfluidic Biochips, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 37 no. 6 (June, 2018), pp. 1119-1132, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  19. Chakrabarty, K; Wang, LC; Veda, G; Huang, Y, Special session on machine learning for test and diagnosis, Proceedings of the Ieee Vlsi Test Symposium, vol. 2018-April (May, 2018), pp. 1, IEEE [doi]  [abs].
  20. Zhong, Z; Li, G; Yang, Q; Qian, J; Chakrabarty, K, Broadcast-based minimization of the overall access time for the IEEE 1687 network, Proceedings of the Ieee Vlsi Test Symposium, vol. 2018-April (May, 2018), pp. 1-6, IEEE [doi]  [abs].
  21. Li, Z; Lai, KYT; Yu, PH; Chakrabarty, K; Ho, TY; Lee, CY, Structural and Functional Test Methods for Micro-Electrode-Dot-Array Digital Microfluidic Biochips, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 37 no. 5 (May, 2018), pp. 968-981, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  22. Vijayan, A; Koneru, A; Kiamehr, S; Chakrabarty, K; Tahoori, MB, Fine-Grained Aging-Induced Delay Prediction Based on the Monitoring of Run-Time Stress, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 37 no. 5 (May, 2018), pp. 1064-1075, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  23. Mondal, S; Chakrabarty, K, Pre-Assembly testing of interconnects in embedded multi-die interconnect bridge (EMIB) dies, Proceedings of the 2018 Design, Automation and Test in Europe Conference and Exhibition, Date 2018, vol. 2018-January (April, 2018), pp. 373-378 [doi]  [abs].
  24. Tenentes, V; Rossi, D; Khursheed, S; Al-Hashimi, BM; Chakrabarty, K, Leakage current analysis for diagnosis of bridge defects in power-gating designs, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 37 no. 4 (April, 2018), pp. 883-895, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  25. Elnaggar, R; Chakrabarty, K, Machine Learning for Hardware Security: Opportunities and Risks, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 34 no. 2 (April, 2018), pp. 183-201, Springer Nature [doi]  [abs].
  26. Li, Z; Lai, KYT; McCrone, J; Yu, PH; Chakrabarty, K; Pajic, M; Ho, TY; Lee, CY, Efficient and Adaptive Error Recovery in a Micro-Electrode-Dot-Array Digital Microfluidic Biochip, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 37 no. 3 (March, 2018), pp. 601-614, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  27. Xia, L; Huangfu, W; Tang, T; Yin, X; Chakrabarty, K; Xie, Y; Wang, Y; Yang, H, Stuck-at Fault Tolerance in RRAM Computing Systems, Ieee Journal on Emerging and Selected Topics in Circuits and Systems, vol. 8 no. 1 (March, 2018), pp. 102-115, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  28. Kundu, S; Chatterjee, D; Chakrabarty, K, Effect of smoothing reactor on the performance of a PWM chopper fed Dc motor drive, 2017 Ieee Calcutta Conference, Calcon 2017 Proceedings, vol. 2018-January (February, 2018), pp. 134-139, IEEE [doi]  [abs].
  29. Vijayan, A; Kiamehr, S; Ebrahimi, M; Chakrabarty, K; Tahoori, MB, Online soft-error vulnerability estimation for memory arrays and logic cores, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 37 no. 2 (February, 2018), pp. 499-511, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  30. Wang, S; Wang, R; Chakrabarty, K; Tahoori, MB, Multicast testing of interposer-based 2.5D ICs: Test-architecture design and test scheduling, Acm Transactions on Design Automation of Electronic Systems, vol. 23 no. 3 (February, 2018), pp. 1-25, Association for Computing Machinery (ACM) [doi]  [abs].
  31. Tang, J; Ibrahim, M; Chakrabarty, K; Karri, R, Security implications of cyberphysical flow-based microfluidic biochips, Proceedings of the Asian Test Symposium, vol. Part F134421 (January, 2018), pp. 110-115, IEEE [doi]  [abs].
  32. Zhang, Y; Chakrabarty, K; Li, H; Jiang, J, Software-based online self-testing of network-on-chip using bounded model checking, Proceedings International Test Conference, vol. 2017-December (December, 2017), pp. 1-10, IEEE [doi]  [abs].
  33. Jin, S; Zhang, Z; Chakrabarty, K; Gu, X, Changepoint-based anomaly detection in a core router system, Proceedings International Test Conference, vol. 2017-December (December, 2017), pp. 1-10, IEEE [doi]  [abs].
  34. Jin, S; Zhang, Z; Chakrabarty, K; Gu, X, Symbol-based health-status analysis in a core router system, Proceedings International Test Conference, vol. 2017-December (December, 2017), pp. 1-10, IEEE [doi]  [abs].
  35. Elnaggar, R; Chakrabarty, K; Tahoori, MB, Run-time hardware trojan detection using performance counters, Proceedings International Test Conference, vol. 2017-December (December, 2017), pp. 1-10, IEEE [doi]  [abs].
  36. Chang, K; Koneru, A; Chakrabarty, K; Lim, SK, Design automation and testing of monolithic 3D ICs: Opportunities, challenges, and solutions: (Invited paper), Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, vol. 2017-November (December, 2017), pp. 805-810, IEEE [doi]  [abs].
  37. Ibrahim, M; Sridhar, A; Chakrabarty, K; Schlichtmann, U, Sortex: Efficient timing-driven synthesis of reconfigurable flow-based biochips for scalable single-cell screening, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, vol. 2017-November (December, 2017), pp. 623-630, IEEE [doi]  [abs].
  38. Zhong, Z; Li, Z; Chakrabarty, K, Adaptive error recovery in MEDA biochips based on droplet-aliquot operations and predictive analysis, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, vol. 2017-November (December, 2017), pp. 615-622, IEEE [doi]  [abs].
  39. Li, Z; Lai, KY-T; Chakrabarty, K; Ho, T-Y; Lee, C-Y, Droplet Size-Aware and Error-Correcting Sample Preparation Using Micro-Electrode-Dot-Array Digital Microfluidic Biochips., Ieee Transactions on Biomedical Circuits and Systems, vol. 11 no. 6 (December, 2017), pp. 1380-1391 [doi]  [abs].
  40. Koneru, A; Kannan, S; Chakrabarty, K, A design-for-test solution for monolithic 3D integrated circuits, Proceedings 35th Ieee International Conference on Computer Design, Iccd 2017 (November, 2017), pp. 685-688, IEEE [doi]  [abs].
  41. Das, S; Doppa, JR; Pande, PP; Chakrabarty, K, Monolithic 3D-enabled high performance and energy efficient network-on-chip, Proceedings 35th Ieee International Conference on Computer Design, Iccd 2017 (November, 2017), pp. 233-240, IEEE [doi]  [abs].
  42. Tang, J; Ibrahim, M; Chakrabarty, K; Karri, R, Security trade-offs in microfluidic routing fabrics, Proceedings 35th Ieee International Conference on Computer Design, Iccd 2017 (November, 2017), pp. 25-32, IEEE [doi]  [abs].
  43. Pasricha, S; Doppa, JR; Chakrabarty, K; Tiku, S; Dauwe, D; Jin, S; Pande, PP, Special session paper: Data analytics enables energy-efficiency and robustness: From mobile to manycores, datacenters, and networks, 2017 International Conference on Hardware/Software Codesign and System Synthesis, Codes+Isss 2017 (November, 2017), ACM Press [doi]  [abs].
  44. Vartziotis, F; Kavousianos, X; Georgiou, P; Chakrabarty, K, A Branch-&-Bound Test-Access-Mechanism Optimization Method for Multi-$V-{\mathrm{ dd}}$ SoCs, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 36 no. 11 (November, 2017), pp. 1911-1924 [doi]  [abs].
  45. Shukla, V; Hussin, FA; Hamid, NH; Ali, NBZ; Chakrabarty, K, Offline Error Detection in MEDA-Based Digital Microfluidic Biochips Using Oscillation-Based Testing Methodology, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 33 no. 5 (October, 2017), pp. 621-635, Springer Nature [doi]  [abs].
  46. Wang, R; Chakrabarty, K, Tackling Test Challenges for Interposer-Based 2.5-D Integrated Circuits, Ieee Design & Test, vol. 34 no. 5 (October, 2017), pp. 72-79, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  47. Elfar, M; Zhong, Z; Li, Z; Chakrabarty, K; Pajic, M, Synthesis of error-recovery protocols for micro-electrode-dot-array digital microfluidic biochips, Acm Transactions on Embedded Computing Systems, vol. 16 no. 5s (September, 2017), pp. 1-22, Association for Computing Machinery (ACM) [doi]  [abs].
  48. Das, S; Lee, D; Choi, W; Doppa, JR; Pande, PP; Chakrabarty, K, VFI-Based Power Management to Enhance the Lifetime of High-Performance 3D NoCs, Acm Transactions on Design Automation of Electronic Systems, vol. 23 no. 1 (August, 2017), pp. 1-26, Association for Computing Machinery (ACM) [doi]  [abs].
  49. Wang, R; Li, Z; Kannan, S; Chakrabarty, K, Prebond Testing and Test-Path Design for the Silicon Interposer in 2.5-D ICs, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 36 no. 8 (August, 2017), pp. 1406-1419, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  50. Li, Z; Lai, KYT; Chakrabarty, K; Ho, TY; Lee, CY, Sample Preparation on Micro-Electrode-Dot-Array Digital Microfluidic Biochips, Proceedings of Ieee Computer Society Annual Symposium on Vlsi, Isvlsi, vol. 2017-July (July, 2017), pp. 146-151, IEEE [doi]  [abs].
  51. Koneru, A; Kannan, S; Chakrabarty, K, Impact of electrostatic coupling and wafer-bonding defects on delay testing of monolithic 3D integrated circuits, Acm Journal on Emerging Technologies in Computing Systems, vol. 13 no. 4 (July, 2017), pp. 1-23, Association for Computing Machinery (ACM) [doi]  [abs].
  52. Xia, L; Liu, M; Ning, X; Chakrabarty, K; Wang, Y, Fault-Tolerant Training with On-Line Fault Detection for RRAM-Based Neural Computing Systems, Proceedings Design Automation Conference, vol. Part 128280 (June, 2017), ACM Press [doi]  [abs].
  53. Chakrabarty, K, Quo Vadis Test? the Past, the Present, and the Future: No Longer a Necessary Evil, Ieee Design & Test, vol. 34 no. 3 (June, 2017), pp. 93-95, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  54. Li, Z; Lai, KY-T; Yu, P-H; Chakrabarty, K; Ho, T-Y; Lee, C-Y, Droplet Size-Aware High-Level Synthesis for Micro-Electrode-Dot-Array Digital Microfluidic Biochips., Ieee Transactions on Biomedical Circuits and Systems, vol. 11 no. 3 (June, 2017), pp. 612-626 [doi]  [abs].
  55. Li, Z; Colburn, JE; Pagalone, V; Narayanun, K; Chakrabarty, K, Test-cost optimization in a scan-compression architecture using support-vector regression, Proceedings of the Ieee Vlsi Test Symposium (May, 2017), IEEE [doi]  [abs].
  56. Das, S; Doppa, JR; Pande, PP; Chakrabarty, K, Robust TSV-based 3D NoC design to counteract electromigration and crosstalk noise, Proceedings of the 2017 Design, Automation and Test in Europe, Date 2017 (May, 2017), pp. 1366-1371, IEEE [doi]  [abs].
  57. Ibrahim, M; Chakrabarty, K, Digital-microfluidic biochips for quantitative analysis: Bridging the Gap between microfluidics and microbiology, Proceedings of the 2017 Design, Automation and Test in Europe, Date 2017 (May, 2017), pp. 1787-1792, IEEE [doi]  [abs].
  58. Ibrahim, M; Chakrabarty, K; Schlichtmann, U, CoSyn: Efficient single-cell analysis using a hybrid microfluidic platform, Proceedings of the 2017 Design, Automation and Test in Europe, Date 2017 (May, 2017), pp. 1673-1678, IEEE [doi]  [abs].
  59. Huhn, S; Eggersglus, S; Chakrabarty, K; Drechsler, R, Optimization of retargeting for IEEE 1149.1 TAP controllers with embedded compression, Proceedings of the 2017 Design, Automation and Test in Europe, Date 2017 (May, 2017), pp. 578-583, IEEE [doi]  [abs].
  60. Liu, C; Li, B; Bhattacharya, BB; Chakrabarty, K; Ho, TY; Schlichtmann, U, Testing microfluidic Fully Programmable Valve Arrays (FPVAs), Proceedings of the 2017 Design, Automation and Test in Europe, Date 2017 (May, 2017), pp. 91-96, IEEE [doi]  [abs].
  61. Das, S; Doppa, JR; Pande, PP; Chakrabarty, K, Design-Space Exploration and Optimization of an Energy-Efficient and Reliable 3-D Small-World Network-on-Chip, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 36 no. 5 (May, 2017), pp. 719-732, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  62. Ibrahim, M; Chakrabarty, K; Scott, K, Synthesis of Cyberphysical Digital-Microfluidic Biochips for Real-Time Quantitative Analysis, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 36 no. 5 (May, 2017), pp. 733-746, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  63. Kundu, S; Chatterjee, D; Chakrabarty, K, Bifurcation behaviour of PWM controlled DC series motor drive, Iet Power Electronics, vol. 10 no. 3 (March, 2017), pp. 279-291, Institution of Engineering and Technology (IET) [doi]  [abs].
  64. Bhattacharjee, S; Chatterjee, S; Banerjee, A; Ho, TY; Chakrabarty, K; Bhattacharya, BB, Adaptation of Biochemical Protocols to Handle Technology-Change for Digital Microfluidics, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 36 no. 3 (March, 2017), pp. 370-383, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  65. Vijayan, A; Kiamehr, S; Oboril, F; Chakrabarty, K; Tahoori, MB, Workload-aware static aging monitoring of timing-critical flip-flops, Proceedings of the Asia and South Pacific Design Automation Conference, Asp Dac (February, 2017), pp. 176-181, IEEE [doi]  [abs].
  66. Huangfu, W; Xia, L; Cheng, M; Yin, X; Tang, T; Li, B; Chakrabarty, K; Xie, Y; Wang, Y; Yang, H, Computation-oriented fault-tolerance schemes for RRAM computing systems, Proceedings of the Asia and South Pacific Design Automation Conference, Asp Dac (February, 2017), pp. 794-799, IEEE [doi]  [abs].
  67. Keszocze, O; Li, Z; Grimmer, A; Wille, R; Chakrabarty, K; Drechsler, R, Exact routing for micro-electrode-dot-array digital microfluidic biochips, Proceedings of the Asia and South Pacific Design Automation Conference, Asp Dac (February, 2017), pp. 708-713, IEEE [doi]  [abs].
  68. Koneru, A; Kannan, S; Chakrabarty, K, Impact of wafer-bonding defects on Monolithic 3D integrated circuits, 2016 Ieee 25th Conference on Electrical Performance of Electronic Packaging and Systems, Epeps 2016 (January, 2017), pp. 91-93, IEEE [doi]  [abs].
  69. Hu, K; Dinh, TA; Ho, TY; Chakrabarty, K, Control-Layer Routing and Control-Pin Minimization for Flow-Based Microfluidic Biochips, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 36 no. 1 (January, 2017), pp. 55-68, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  70. Chakrabarty, K, Editorial, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 25 no. 1 (January, 2017), pp. 1-20, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  71. Wang, R; Chakrabarty, K, Testing of Interposer-Based 2.5D Integrated Circuits: Challenges and Solutions, Proceedings of the Asian Test Symposium (December, 2016), pp. 74-79, IEEE [doi]  [abs].
  72. Wang, S; Wang, R; Chakrabarty, K; Tahoori, MB, Multicast Test Architecture and Test Scheduling for Interposer-Based 2.5D ICs, Proceedings of the Asian Test Symposium (December, 2016), pp. 86-91, IEEE [doi]  [abs].
  73. Li, Z; Lai, KYT; Yu, PH; Chakrabarty, K; Pajic, M; Ho, TY; Lee, CY, Error recovery in a micro-electrode-dot-array digital microfluidic biochip?, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, vol. 07-10-November-2016 (November, 2016), ACM Press [doi]  [abs].
  74. Das, S; Doppa, JR; Pande, PP; Chakrabarty, K, Energy-efficient and reliable 3D network-on-chip (NoC): Architectures and optimization algorithms, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, vol. 07-10-November-2016 (November, 2016), ACM Press [doi]  [abs].
  75. Wang, R; Deutsch, S; Agrawal, M; Chakrabarty, K, The hype, myths, and realities of testing 3D integrated circuits, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, vol. 07-10-November-2016 (November, 2016), ACM Press [doi]  [abs].
  76. Ibrahim, M; Boswell, C; Chakrabarty, K; Scott, K; Pajic, M, A real-time digital-microfluidic platform for epigenetics, Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, Cases 2016 (October, 2016), ACM Press [doi]  [abs].
  77. Xiang, D; Chakrabarty, K; Fujiwara, H, Multicast-Based Testing and Thermal-Aware Test Scheduling for 3D ICs with a Stacked Network-on-Chip, Ieee Transactions on Computers, vol. 65 no. 9 (September, 2016), pp. 2767-2779, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  78. Ali, SS; Ibrahim, M; Rajendran, J; Sinanoglu, O; Chakrabarty, K, Supply-Chain Security of Digital Microfluidic Biochips, Computer, vol. 49 no. 8 (August, 2016), pp. 36-43, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  79. Chakrabarty, K; Alioto, M, Editorial First TVLSI Best AE and Reviewer Awards, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 24 no. 8 (August, 2016), pp. 2613 [doi] .
  80. Wang, R; Chakrabarty, K, A design-for-test solution for monolithic 3D integrated circuits, Proceedings of the European Test Workshop, vol. 2016-July (July, 2016), IEEE [doi]  [abs].
  81. Koneru, A; Chakrabarty, K, Analysis of electrostatic coupling in monolithic 3D integrated circuits and its impact on delay testing, Proceedings of the European Test Workshop, vol. 2016-July (July, 2016), IEEE [doi]  [abs].
  82. Georgiou, P; Vartziotis, F; Kavousianos, X; Chakrabarty, K, Two-dimensional time-division multiplexing for 3D-SoCs, Proceedings of the European Test Workshop, vol. 2016-July (July, 2016), IEEE [doi]  [abs].
  83. Xiang, D; Chakrabarty, K; Fujiwara, H, A unified test and fault-Tolerant multicast solution for network-on-chip designs, Proceedings International Test Conference (July, 2016), IEEE [doi]  [abs].
  84. Wang, R; Chakrabarty, K, Testing of interposer-based 2.5D integrated circuits, Proceedings International Test Conference (July, 2016), IEEE [doi]  [abs].
  85. Kadam, G; Rudack, M; Chakrabarty, K; Alt, J, Supply-voltage optimization to account for process variations in high-volume manufacturing testing, Proceedings International Test Conference (July, 2016), IEEE [doi]  [abs].
  86. Li, T; Jiang, L; Liang, X; Xu, Q; Chakrabarty, K, Defect tolerance for CNFET-based SRAMs, Proceedings International Test Conference (July, 2016), IEEE [doi]  [abs].
  87. Tang, J; Karri, R; Ibrahim, M; Chakrabarty, K, Securing digital microfluidic biochips by randomizing checkpoints, Proceedings International Test Conference (July, 2016), IEEE [doi]  [abs].
  88. Jin, S; Zhang, Z; Chakrabarty, K; Gu, X, Accurate anomaly detection using correlation-based time-series analysis in a core router system, Proceedings International Test Conference (July, 2016), IEEE [doi]  [abs].
  89. Li, Z; Lai, KYT; Yu, PH; Chakrabarty, K; Ho, TY; Lee, CY, Built-in self-Test for micro-electrode-dot-Array digital microfluidic biochips, Proceedings International Test Conference (July, 2016), IEEE [doi]  [abs].
  90. Li, T; Xie, F; Liang, X; Xu, Q; Chakrabarty, K; Jing, N; Jiang, L, A novel test method for metallic CNTs in CNFET-Based SRAMs, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 35 no. 7 (July, 2016), pp. 1192-1205, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  91. Hu, K; Bhattacharya, BB; Chakrabarty, K, Fault diagnosis for leakage and blockage defects in flow-based microfluidic biochips, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 35 no. 7 (July, 2016), pp. 1179-1191, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  92. Poddar, S; Ghoshal, S; Chakrabarty, K; Bhattacharya, BB, Error-correcting sample preparation with cyberphysical digital microfluidic lab-on-chip, Acm Transactions on Design Automation of Electronic Systems, vol. 22 no. 1 (July, 2016), pp. 1-29, Association for Computing Machinery (ACM) [doi]  [abs].
  93. Li, Z; Lai, KYT; Yu, PH; Ho, TY; Chakrabarty, K; Lee, CY, High-level synthesis for micro-electrode-dot-array digital microfluidic biochips, Proceedings Design Automation Conference, vol. 05-09-June-2016 (June, 2016) [doi]  [abs].
  94. Jin, S; Ye, F; Zhang, Z; Chakrabarty, K; Gu, X, Efficient Board-Level Functional Fault Diagnosis with Missing Syndromes, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 35 no. 6 (June, 2016), pp. 985-998, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  95. Ibrahim, M; Chakrabarty, K, Digital-Microfluidic Biochips, Computer, vol. 49 no. 6 (June, 2016), pp. 8-9, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  96. Vijayan, A; Koneru, A; Ebrahimit, M; Chakrabarty, K; Tahoori, MB, Online soft-error vulnerability estimation for memory arrays, Proceedings of the Ieee Vlsi Test Symposium, vol. 2016-May (May, 2016), IEEE [doi]  [abs].
  97. Wang, R; Bhaskaran, B; Natarajan, K; Abdollahian, A; Narayanun, K; Chakrabarty, K; Sanghani, A, A programmable method for low-power scan shift in SoC integrated circuits, Proceedings of the Ieee Vlsi Test Symposium, vol. 2016-May (May, 2016), IEEE [doi]  [abs].
  98. Nuthakki, SS; Karmakar, R; Chattopadhyay, S; Chakrabarty, K, Optimization of the IEEE 1687 access network for hybrid access schedules, Proceedings of the Ieee Vlsi Test Symposium, vol. 2016-May (May, 2016), IEEE [doi]  [abs].
  99. Ali, SS; Ibrahim, M; Sinanoglu, O; Chakrabarty, K; Karri, R, Security Assessment of Cyberphysical Digital Microfluidic Biochips., Ieee/Acm Transactions on Computational Biology and Bioinformatics, vol. 13 no. 3 (May, 2016), pp. 445-458 [doi]  [abs].
  100. Das, S; Doppa, JR; Pande, PP; Chakrabarty, K, Reliability and performance trade-offs for 3D NoC-enabled multicore chips, Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, Date 2016 (April, 2016), pp. 1429-1432  [abs].
  101. Ibrahim, M; Chakrabarty, K; Scott, K, Integrated and real-time quantitative analysis using cyberphysical digital-microfluidic biochips, Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, Date 2016 (April, 2016), pp. 630-635 [doi]  [abs].
  102. Wang, S; Tahoori, MB; Chakrabarty, K, Thermal-aware TSV repair for electromigration in 3D ICs, Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, Date 2016 (April, 2016), pp. 1291-1296  [abs].
  103. Wang, R; Li, Z; Kannan, S; Chakrabarty, K, Pre-bond testing of the silicon interposer in 2.5D ICs, Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, Date 2016 (April, 2016), pp. 978-983 [doi]  [abs].
  104. Hu, K; Ho, TY; Chakrabarty, K, Wash Optimization and Analysis for Cross-Contamination Removal under Physical Constraints in Flow-Based Microfluidic Biochips, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 35 no. 4 (April, 2016), pp. 559-572, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  105. Ye, F; Firouzi, F; Yang, Y; Chakrabarty, K; Tahoori, MB, On-chip droop-induced circuit delay prediction based on support-vector machines, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 35 no. 4 (April, 2016), pp. 665-678, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  106. Dasgupta, P; Chakrabarty, K; Das, PP, Message from the Technical Program Co-Chairs, Proceedings of the Ieee International Conference on Vlsi Design, vol. 2016-March (March, 2016), pp. xvii [doi] .
  107. Ye, F; Zhang, Z; Chakrabarty, K; Gu, X, Adaptive Board-Level Functional Fault Diagnosis Using Incremental Decision Trees, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 35 no. 2 (February, 2016), pp. 323-336, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  108. Agrawal, M; Chakrabarty, K; Eklow, B, A Distributed, Reconfigurable, and Reusable BIST Infrastructure for Test and Diagnosis of 3-D-Stacked ICs, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 35 no. 2 (February, 2016), pp. 309-322, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  109. Das, S; Doppa, JR; Kim, DH; Pande, PP; Chakrabarty, K, Optimizing 3D NoC design for energy efficiency: A machine learning approach, 2015 Ieee/Acm International Conference on Computer Aided Design, Iccad 2015 (January, 2016), pp. 705-712, IEEE [doi]  [abs].
  110. Koneru, A; Vijayan, A; Chakrabarty, K; Tahoori, MB, Fine-grained aging prediction based on the monitoring of run-time stress using DFT infrastructure, 2015 Ieee/Acm International Conference on Computer Aided Design, Iccad 2015 (January, 2016), pp. 51-58 [doi]  [abs].
  111. Wang, S; Tahoori, MB; Chakrabarty, K, Defect clustering-aware spare-TSV allocation for 3D ICs, 2015 Ieee/Acm International Conference on Computer Aided Design, Iccad 2015 (January, 2016), pp. 307-314, IEEE [doi]  [abs].
  112. Keszocze, O; Wille, R; Chakrabarty, K; Drechsler, R, A general and exact routing methodology for Digital Microfluidic Biochips, 2015 Ieee/Acm International Conference on Computer Aided Design, Iccad 2015 (January, 2016), pp. 874-881, IEEE [doi]  [abs].
  113. Li, Z; Ho, TY; Chakrabarty, K, Optimization of 3D digital microfluidic biochips for the multiplexed polymerase chain reaction, Acm Transactions on Design Automation of Electronic Systems, vol. 21 no. 2 (January, 2016), pp. 1-27, Association for Computing Machinery (ACM) [doi]  [abs].
  114. Ali, SS; Ibrahim, M; Sinanoglu, O; Chakrabarty, K; Karri, R, Microfluidic encryption of on-chip biochemical assays, Proceedings 2016 Ieee Biomedical Circuits and Systems Conference, Biocas 2016 (January, 2016), pp. 152-155, IEEE [doi]  [abs].
  115. Ibrahim, M; Chakrabarty, K, Cyberphysical adaptation in digital-microfluidic biochips, Proceedings 2016 Ieee Biomedical Circuits and Systems Conference, Biocas 2016 (January, 2016), pp. 444-447, IEEE [doi]  [abs].
  116. Wang, R; Li, G; Li, R; Qian, J; Chakrabarty, K, ExTest Scheduling and Optimization for 2.5D SoCs with Wrapped Tiles, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. PP no. 99 (January, 2016), pp. 1030-1042, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  117. Ho, TY; Grover, W; Hu, S; Chakrabarty, K, Cyber-physical integration in programmable microfluidic biochips, Proceedings of the 33rd Ieee International Conference on Computer Design, Iccd 2015 (December, 2015), pp. 224-227, IEEE [doi]  [abs].
  118. Ali, SS; Ibrahim, M; Sinanoglu, O; Chakrabarty, K; Karri, R, Security implications of cyberphysical digital microfluidic biochips, Proceedings of the 33rd Ieee International Conference on Computer Design, Iccd 2015 (December, 2015), pp. 483-486, IEEE [doi]  [abs].
  119. Hu, K; Ibrahim, M; Chen, L; Li, Z; Chakrabarty, K; Fair, R, Experimental demonstration of error recovery in an integrated cyberphysical digital-microfluidic platform, Ieee Biomedical Circuits and Systems Conference: Engineering for Healthy Minds and Able Bodies, Biocas 2015 Proceedings (December, 2015), IEEE [doi]  [abs].
  120. Deutsch, S; Chakrabarty, K, Contactless pre-bond TSV fault diagnosis using duty-cycle detectors and ring oscillators, Proceedings International Test Conference, vol. 2015-November (November, 2015), IEEE [doi]  [abs].
  121. Dinh, TA; Yamashita, S; Ho, TY; Chakrabarty, K, A general testing method for digital microfluidic biochips under physical constraints, Proceedings International Test Conference, vol. 2015-November (November, 2015), IEEE [doi]  [abs].
  122. Li, Z; Goel, SK; Lee, F; Chakrabarty, K, Efficient observation-point insertion for diagnosability enhancement in digital circuits, Proceedings International Test Conference, vol. 2015-November (November, 2015), IEEE [doi]  [abs].
  123. Deutsch, S; Chakrabarty, K, Test and debug solutions for 3D-stacked integrated circuits, Proceedings International Test Conference, vol. 2015-November (November, 2015), IEEE [doi]  [abs].
  124. Vartziotis, F; Kavousianos, X; Georgiou, P; Chakrabarty, K, Test-access-mechanism optimization for multi-Vdd SoCs, Proceedings International Test Conference, vol. 2015-November (November, 2015), IEEE [doi]  [abs].
  125. Mitra, D; Ghoshal, S; Rahaman, H; Chakrabarty, K; Bhattacharya, BB, Offline washing schemes for residue removal in digital microfluidic biochips, Acm Transactions on Design Automation of Electronic Systems, vol. 21 no. 1 (November, 2015), pp. 1-33, Association for Computing Machinery (ACM) [doi]  [abs].
  126. Deutsch, S; Chakrabarty, K; Marinissen, EJ, Robust Optimization of Test-Access Architectures under Realistic Scenarios, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 34 no. 11 (November, 2015), pp. 1873-1884, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  127. Chakrabarty, K; Kar, U, Stabilization of unstable periodic orbits in DC drives, 2nd International Conference on Electrical Engineering and Information and Communication Technology, Iceeict 2015 (October, 2015), IEEE [doi]  [abs].
  128. Duan, Q; Koneru, A; Zeng, J; Chakrabarty, K; Dispoto, G, Accurate analysis and prediction of enterprise service-level performance, Acm Transactions on Design Automation of Electronic Systems, vol. 20 no. 4 (September, 2015), pp. 1-23, Association for Computing Machinery (ACM) [doi]  [abs].
  129. Wang, R; Chakrabarty, K; Bhawmik, S, Built-in self-test and test scheduling for interposer-based 2.5D IC, Acm Transactions on Design Automation of Electronic Systems, vol. 20 no. 4 (September, 2015), pp. 1-24, Association for Computing Machinery (ACM) [doi]  [abs].
  130. Agrawal, M; Chakrabarty, K, Test-cost modeling and optimal test-flow selection of 3-D-stacked ICs, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 34 no. 9 (September, 2015), pp. 1523-1536, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  131. Roy, S; Chakrabarti, PP; Chakrabarty, K; Bhattacharya, BB, Waste-aware single-target dilution of a biochemical fluid using digital microfluidic biochips, Integration, the Vlsi Journal, vol. 51 (September, 2015), pp. 194-207, Elsevier BV [doi]  [abs].
  132. Tahoori, MB; Chatterjee, A; Chakrabarty, K; Koneru, A; Vijayan, A; Banerjee, D, Self-awareness and self-learning for resiliency in real-time systems, Proceedings of the 21st Ieee International on Line Testing Symposium, Iolts 2015 (August, 2015), pp. 128-131, IEEE [doi]  [abs].
  133. Xie, F; Liang, X; Xu, Q; Chakrabarty, K; Jing, N; Jiang, L, Jump test for metallic CNTs in CNFET-based SRAM, Proceedings Design Automation Conference, vol. 2015-July (July, 2015), ACM Press [doi]  [abs].
  134. Vartziotis, F; Kavousianos, X; Chakrabarty, K, A branch-&-bound algorithm for TAM optimization in multi-Vdd SoCs, Proceedings 2015 20th Ieee European Test Symposium, Ets 2014 (June, 2015), IEEE [doi]  [abs].
  135. Firouzi, F; Ye, F; Vijayan, A; Koneru, A; Chakrabarty, K; Tahoori, MB, Re-using BIST for circuit aging monitoring, Proceedings 2015 20th Ieee European Test Symposium, Ets 2014 (June, 2015), IEEE [doi]  [abs].
  136. Araci, IE; Pop, P; Chakrabarty, K, Microfluidic very large-scale integration for biochips: Technology, testing and fault-tolerant design, Proceedings 2015 20th Ieee European Test Symposium, Ets 2014 (June, 2015), IEEE [doi]  [abs].
  137. Dinh, TA; Yamashita, S; Ho, TY; Chakrabarty, K, Testing of digital microfluidic biochips with arbitrary layouts, Proceedings 2015 20th Ieee European Test Symposium, Ets 2014 (June, 2015), IEEE [doi]  [abs].
  138. Wang, R; Li, G; Li, R; Qian, J; Chakrabarty, K, ExTest scheduling for 2.5D system-on-chip integrated circuits, Proceedings of the Ieee Vlsi Test Symposium, vol. 2015-January (June, 2015), IEEE [doi]  [abs].
  139. Hu, K; Bhattacharya, BB; Chakrabarty, K, Fault diagnosis for flow-based microfluidic biochips, Proceedings of the Ieee Vlsi Test Symposium, vol. 2015-January (June, 2015), IEEE [doi]  [abs].
  140. Roy, S; Chakrabarti, PP; Kumar, S; Chakrabarty, K; Bhattacharya, BB, Layout-aware mixture preparation of biochemical fluids on application-specific digital microfluidic biochips, Acm Transactions on Design Automation of Electronic Systems, vol. 20 no. 3 (June, 2015), pp. 1-34, Association for Computing Machinery (ACM) [doi]  [abs].
  141. Firouzi, F; Ye, F; Chakrabarty, K; Tahoori, MB, Aging-and variation-aware delay monitoring using representative critical path selection, Acm Transactions on Design Automation of Electronic Systems, vol. 20 no. 3 (June, 2015), pp. 1-23, Association for Computing Machinery (ACM) [doi]  [abs].
  142. Ye, F; Zhang, Z; Chakrabarty, K; Gu, X, Information-theoretic syndrome evaluation, statistical root-cause analysis, and correlation-based feature selection for guiding board-level fault diagnosis, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 34 no. 6 (June, 2015), pp. 1014-1026, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  143. Ibrahim, M; Chakrabarty, K, Error recovery in digital microfluidics for personalized medicine, Proceedings Design, Automation and Test in Europe, Date, vol. 2015-April (April, 2015), pp. 247-252 [doi]  [abs].
  144. Liao, CH; Wen, CHP; Chakrabarty, K, An online thermal-constrained task scheduler for 3D multi-core processors, Proceedings Design, Automation and Test in Europe, Date, vol. 2015-April (April, 2015), pp. 351-356 [doi]  [abs].
  145. Vartziotis, F; Kavousianos, X; Chakrabarty, K; Jain, A; Parekhji, R, Time-Division Multiplexing for Testing DVFS-Based SoCs, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 34 no. 4 (April, 2015), pp. 668-681, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  146. Duan, Q; Zeng, J; Chakrabarty, K; Dispoto, G, Real-Time Production Scheduler for Digital-Print-Service Providers Based on a Dynamic Incremental Evolutionary Algorithm, Ieee Transactions on Automation Science and Engineering, vol. 12 no. 2 (April, 2015), pp. 701-715, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  147. Deutsch, S; Chakrabarty, K, Software-based test and diagnosis of SoCs using embedded and wide-I/O DRAM, 20th Asia and South Pacific Design Automation Conference, Asp Dac 2015 (March, 2015), pp. 532-537, IEEE [doi]  [abs].
  148. Ye, F; Chakrabarty, K; Zhang, Z; Gu, X, Self-learning and adaptive board-level functional fault diagnosis, 20th Asia and South Pacific Design Automation Conference, Asp Dac 2015 (March, 2015), pp. 294-301, IEEE [doi]  [abs].
  149. Li, Z; Ho, TY; Chakrabarty, K, Design and optimization of 3D digital microfluidic biochips for the polymerase chain reaction, 20th Asia and South Pacific Design Automation Conference, Asp Dac 2015 (March, 2015), pp. 184-189, IEEE [doi]  [abs].
  150. Chakrabarty, K; Kar, U, State variable participation in the limit cycle of induction motor, Pramana, vol. 84 no. 3 (March, 2015), pp. 473-485, Springer Nature [doi]  [abs].
  151. Duan, Q; Zeng, J; Chakrabarty, K; Dispoto, G, Accurate predictions of process-execution time and process status based on support-vector regression for enterprise information systems, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 34 no. 3 (March, 2015), pp. 354-366, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  152. Ibrahim, M; Chakrabarty, K, Efficient Error Recovery in Cyberphysical Digital-Microfluidic Biochips, Ieee Transactions on Multi Scale Computing Systems, vol. 1 no. 1 (March, 2015), pp. 46-58, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  153. Agrawal, M; Chakrabarty, K; Eklow, B, A distributed, reconfigurable, and reusable bist infrastructure for 3D-stacked ICs, Proceedings International Test Conference, vol. 2015-February (February, 2015), IEEE [doi]  [abs].
  154. Ye, F; Zhang, Z; Chakrabarty, K; Gu, X, Knowledge discovery and knowledge transfer in board-level functional fault diagnosis, Proceedings International Test Conference, vol. 2015-February (February, 2015), IEEE [doi]  [abs].
  155. Deutsch, S; Chakrabarty, K, Massive signal tracing using on-chip DRAM for in-system silicon debug, Proceedings International Test Conference, vol. 2015-February (February, 2015), IEEE [doi]  [abs].
  156. Duan, Q; Zeng, J; Chakrabarty, K; Dispoto, G, Data-driven optimization of order admission policies in a digital print factory, Acm Transactions on Design Automation of Electronic Systems, vol. 20 no. 2 (February, 2015), pp. 1-25, Association for Computing Machinery (ACM) [doi]  [abs].
  157. Noia, B; Panth, S; Chakrabarty, K; Lim, SK, Scan test of die logic in 3-D ICs using TSV probing, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 23 no. 2 (February, 2015), pp. 317-330, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  158. Chakrabarty, K; Bhattacharya, BB; Banerjee, A, Design automation for biochemistry synthesis on a digital microfluidic lab-on-a-chip, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, vol. 2015-January no. January (January, 2015), pp. 286-288, IEEE [doi]  [abs].
  159. Chakrabarty, K, IEEE Transactions on Very Large Scale Integration (VLSI) Systems: Editorial, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 23 no. 1 (January, 2015), pp. 1, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  160. Agrawal, M; Chakrabarty, K; Widialaksono, R, Reuse-based optimization for prebond and post-bond testing of 3-D-stacked ICs, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 34 no. 1 (January, 2015), pp. 122-135, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  161. Luo, Y; Bhattacharya, BB; Ho, TY; Chakrabarty, K, Design and optimization of a cyberphysical digital-microfluidic biochip for the polymerase chain reaction, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 34 no. 1 (January, 2015), pp. 29-42, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  162. Wang, R; Chakrabarty, K; Bhawmik, S, Interconnect testing and test-path scheduling for interposer-based 2.5-D ICs, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 34 no. 1 (January, 2015), pp. 136-149, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  163. Pop, P; Araci, IE; Chakrabarty, K, Continuous-flow biochips: Technology, physical-design methods, and testing, Ieee Design & Test, vol. 32 no. 6 (January, 2015), pp. 8-19, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  164. Firouzi, F; Ye, F; Kiamehr, S; Chakrabarty, K; Tahoori, MB, Adaptive mitigation of parameter variations, Proceedings of the Asian Test Symposium (December, 2014), pp. 51-56, IEEE [doi]  [abs].
  165. Li, H; Chakrabarty, K, Message from the ATS 2014 general co-chairs, Proceedings of the Asian Test Symposium (December, 2014), pp. xii, IEEE [doi] .
  166. Li, Z; Dinh, TA; Ho, TY; Chakrabarty, K, Reliability-driven pipelined scan-like testing of digital microfluidic biochips, Proceedings of the Asian Test Symposium (December, 2014), pp. 57-62, IEEE [doi]  [abs].
  167. Wang, R; Chakrabarty, K; Bhawmik, S, Built-in self-test for interposer-based 2.5D ICs, 2014 32nd Ieee International Conference on Computer Design, Iccd 2014 (December, 2014), pp. 181-188, IEEE [doi]  [abs].
  168. Lien, WC; Lee, KJ; Hsieh, TY; Chakrabarty, K, Efficient LFSR Reseeding Based on Internal-Response Feedback, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 30 no. 6 (December, 2014), pp. 673-685 [doi]  [abs].
  169. Li, Z; Ho, TY; Chakrabarty, K, Optimization of heaters in a digital microfluidic biochip for the polymerase chain reaction, Therminic 2014 20th International Workshop on Thermal Investigations of Ics and Systems, Proceedings (December, 2014), IEEE [doi]  [abs].
  170. Hu, K; Dinh, TA; Ho, TY; Chakrabarty, K, Control-layer optimization for flow-based mVLSI microfluidic biochips, 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, Cases 2014 (October, 2014) [doi]  [abs].
  171. Hu, K; Yu, F; Ho, TY; Chakrabarty, K, Testing of flow-based microfluidic biochips: Fault modeling, test generation, and experimental demonstration, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 33 no. 10 (October, 2014), pp. 1463-1475, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  172. Firouzi, F; Ye, F; Chakrabarty, K; Tahoori, MB, Chip health monitoring using machine learning, Proceedings of Ieee Computer Society Annual Symposium on Vlsi, Isvlsi (September, 2014), pp. 280-283, IEEE [doi]  [abs].
  173. Roy, S; Bhattacharya, BB; Ghoshal, S; Chakrabarty, K, An optimal two-mixer dilution engine with Digital microfluidics for low-power applications, Journal of Low Power Electronics, vol. 10 no. 3 (September, 2014), pp. 506-518 [doi]  [abs].
  174. Roy, S; Bhattacharya, BB; Ghoshal, S; Chakrabarty, K, High-throughput dilution engine for sample preparation on digital microfluidic biochips, Iet Computers & Digital Techniques, vol. 8 no. 4 (July, 2014), pp. 163-171, Institution of Engineering and Technology (IET) [doi]  [abs].
  175. Hu, K; Ho, TY; Chakrabarty, K, Wash optimization for cross-contamination removal in flow-based microfluidic biochips, Proceedings of the Asia and South Pacific Design Automation Conference, Asp Dac (March, 2014), pp. 244-249, IEEE [doi]  [abs].
  176. Bhattacharjee, S; Banerjee, A; Chakrabarty, K; Bhattacharya, BB, Correctness checking of bio-chemical protocol realizations on a digital microfluidic biochip, Proceedings of the Ieee International Conference on Vlsi Design (March, 2014), pp. 504-509, IEEE [doi]  [abs].
  177. Noia, B; Chakrabarty, K, Retiming for delay recovery after DfT insertion on interdie paths in 3-D ICs, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 33 no. 3 (March, 2014), pp. 464-475, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  178. Hsieh, YL; Ho, TY; Chakrabarty, K, Biochip synthesis and dynamic error recovery for sample preparation using digital microfluidics, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 33 no. 2 (February, 2014), pp. 183-196, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  179. Ye, F; Zhang, Z; Chakrabarty, K; Gu, X, Board-level functional fault diagnosis using multikernel support vector machines and incremental learning, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 33 no. 2 (February, 2014), pp. 279-290, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  180. Khursheed, S; Shi, K; Al-Hashimi, BM; Wilson, PR; Chakrabarty, K, Delay test for diagnosis of power switches, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 22 no. 2 (February, 2014), pp. 197-206, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  181. Chakrabarty, K; Ho, T-Y, Tutorial T5: Microfluidic Biochips: Connecting VLSI and Embedded Systems to the Life Sciences, 2014 27th International Conference on Vlsi Design and 2014 13th International Conference on Embedded Systems (January, 2014), pp. 11-12, IEEE [Gateway.cgi], [doi] .
  182. Roy, S; Bhattacharya, BB; Ghoshal, S; Chakrabarty, K, Theory and analysis of generalized mixing and dilution of biochemical fluids using digital microfluidic biochips, Acm Journal on Emerging Technologies in Computing Systems, vol. 11 no. 1 (January, 2014), pp. 1-33, Association for Computing Machinery (ACM) [doi]  [abs].
  183. Wang, R; Chakrabarty, K; Eklow, B, Scan-based testing of post-bond silicon interposer interconnects in 2.5-D ICs, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 33 no. 9 (January, 2014), pp. 1410-1423, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  184. Ye, F; Chakrabarty, K; Zhang, Z; Gu, X, Information-theoretic framework for evaluating and guiding board-level functional-fault diagnosis, Ieee Design & Test, vol. 31 no. 3 (January, 2014), pp. 65-75, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  185. Mitra, D; Roy, S; Bhattacharjee, S; Chakrabarty, K; Bhattacharya, BB, On-chip sample preparation for multiple targets using digital microfluidics, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 33 no. 8 (January, 2014), pp. 1131-1144, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  186. Kavousianos, X; Chakrabarty, K, Recent advances in single- and multi-site test optimization for DVS-based SoCs, Proceedings 2014 9th Ieee International Conference on Design and Technology of Integrated Systems in Nanoscale Era, Dtis 2014 (January, 2014), IEEE [doi]  [abs].
  187. Wang, R; Zhang, Z; Kavousianos, X; Tsiatouhas, Y; Chakrabarty, K, Built-in self-test, diagnosis, and repair of multimode power switches, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 33 no. 8 (January, 2014), pp. 1231-1244, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  188. Lien, WC; Lee, KJ; Chakrabarty, K; Hsieh, TY, Output-bit selection with X-avoidance using multiple counters for test-response compaction, Proceedings 2014 19th Ieee European Test Symposium, Ets 2014 (January, 2014), IEEE [doi]  [abs].
  189. Lien, WC; Lee, KJ; Chakrabarty, K; Hsieh, TY, Output selection for test response compaction based on multiple counters, Technical Papers of 2014 International Symposium on Vlsi Design, Automation and Test, Vlsi Dat 2014 (January, 2014), IEEE [doi]  [abs].
  190. Vartziotis, F; Kavousianos, X; Chakrabarty, K; Parekhji, R; Jain, A, Multi-site test optimization for multi-Vdd SoCs using space- and time- division multiplexing, Proceedings Design, Automation and Test in Europe, Date (January, 2014) [doi]  [abs].
  191. Roy, S; Kumar, S; Chakrabarti, PP; Bhattacharya, BB; Chakrabarty, K, Demand-driven mixture preparation and droplet streaming using digital microfluidic biochips, Proceedings Design Automation Conference (January, 2014), ACM Press [doi]  [abs].
  192. Agrawal, M; Richter, M; Chakrabarty, K, Test-delivery optimization in manycore SOCs, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 33 no. 7 (January, 2014), pp. 1067-1080, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  193. Wang, R; Chakrabarty, K; Bhawmik, S, At-speed interconnect testing and test-path optimization for 2.5D ICs, Proceedings of the Ieee Vlsi Test Symposium (January, 2014), IEEE [doi]  [abs].
  194. Agrawal, M; Chakrabarty, K, Test-time optimization in NOC-based manycore SOCs using multicast routing, Proceedings of the Ieee Vlsi Test Symposium (January, 2014), IEEE [doi]  [abs].
  195. Ye, F; Firouzi, F; Yang, Y; Chakrabarty, K; Tahoori, MB, On-chip voltage-droop prediction using support-vector machines, Proceedings of the Ieee Vlsi Test Symposium (January, 2014), IEEE [doi]  [abs].
  196. Hu, K; Ho, TY; Chakrabarty, K, Test generation and design-for-testability for flow-based mVLSI microfluidic biochips, Proceedings of the Ieee Vlsi Test Symposium (January, 2014), IEEE [doi]  [abs].
  197. Luo, Y; Chakrabarty, K; Ho, TY, Biochemistry synthesis on a cyberphysical digital microfluidics platform under completion-time uncertainties in fluidic operations, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 33 no. 6 (January, 2014), pp. 903-916, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  198. Deutsch, S; Chakrabarty, K, Contactless pre-bond TSV test and diagnosis using ring oscillators and multiple voltage levels, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 33 no. 5 (January, 2014), pp. 774-785, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  199. Richter, M; Chakrabarty, K, Optimization of test pin-count, test scheduling, and test access for NoC-based multicore SoCs, Ieee Transactions on Computers, vol. 63 no. 3 (January, 2014), pp. 691-702, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  200. Zhang, Z; Kavousianos, X; Chakrabarty, K; Tsiatouhas, Y, Static power reduction using variation-tolerant and reconfigurable multi-mode power switches, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 22 no. 1 (January, 2014), pp. 13-26, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  201. Kuo, CY; Shih, CJ; Lu, YC; Li, JCM; Chakrabarty, K, Testing of TSV-induced small delay faults for 3-d integrated circuits, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 22 no. 3 (January, 2014), pp. 667-674, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  202. Chakrabarty, K; Agrawal, M; Deutsch, S; Noia, B; Wang, R; Ye, F, Test and design-for-testability solutions for 3D integrated circuits, Ipsj Transactions on System Lsi Design Methodology, vol. 7 (January, 2014), pp. 56-73, Information Processing Society of Japan [doi]  [abs].
  203. Vartziotis, F; Kavousianos, X; Chakrabarty, K; Parekhji, R; Jain, A, Multi-site test optimization for multi-Vdd SoCs using space- and time- division multiplexing, Proceedings Design, Automation and Test in Europe, Date (2014) .
  204. Chakrabarty, K; Kar, U, Bifurcation phenomena in induction motor, 2013 Annual Ieee India Conference, Indicon 2013 (December, 2013), IEEE [doi]  [abs].
  205. Luo, Y; Bhattacharya, BB; Ho, TY; Chakrabarty, K, Optimization of polymerase chain reaction on a cyberphysical digital microfluidic biochip, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad (December, 2013), pp. 622-629, IEEE [doi]  [abs].
  206. Zhang, Z; Noia, B; Chakrabarty, K; Franzon, P, Face-to-face bus design with built-in self-test in 3D ICs, 2013 Ieee International 3d Systems Integration Conference, 3dic 2013 (December, 2013), IEEE [doi]  [abs].
  207. Firouzi, F; Ye, F; Chakrabarty, K; Tahoori, MB, Representative critical-path selection for aging-induced delay monitoring, Proceedings International Test Conference (December, 2013), IEEE [doi]  [abs].
  208. Deutsch, S; Chakrabarty, K; Marinissen, EJ, Uncertainty-aware robust optimization of test-access architectures for 3D stacked ICs, Proceedings International Test Conference (December, 2013), IEEE [doi]  [abs].
  209. Agrawal, M; Chakrabarty, K, A graph-theoretic approach for minimizing the number of wrapper cells for pre-bond testing of 3D-stacked ICs, Proceedings International Test Conference (December, 2013), IEEE [doi]  [abs].
  210. Luo, Y; Chakrabarty, K; Ho, TY, Real-time error recovery in cyberphysical digital-microfluidic biochips using a compact dictionary, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 32 no. 12 (December, 2013), pp. 1839-1852, Institute of Electrical and Electronics Engineers (IEEE) [Gateway.cgi], [doi]  [abs].
  211. Basak, A; Chakrabarty, K; Ghosh, A; Das, GK, Mechanism of the gold(III)-catalyzed isomerization of substituted allenes to conjugated dienes: a DFT study., The Journal of Organic Chemistry, vol. 78 no. 19 (October, 2013), pp. 9715-9724 [23992518], [doi]  [abs].
  212. Bao, F; Peng, K; Tehranipoor, M; Chakrabarty, K, Generation of effective 1-detect TDF patterns for detecting small-delay defects, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 32 no. 10 (September, 2013), pp. 1583-1594, Institute of Electrical and Electronics Engineers (IEEE) [Gateway.cgi], [doi]  [abs].
  213. Deutsch, S; Chakrabarty, K, Robust optimization of test-architecture designs for core-based SoCs, Proceedings 2013 18th Ieee European Test Symposium, Ets 2013 (September, 2013), IEEE [doi]  [abs].
  214. Ye, F; Zhang, Z; Chakrabarty, K; Gu, X, Information-theoretic syndrome and root-cause analysis for guiding board-level fault diagnosis, Proceedings 2013 18th Ieee European Test Symposium, Ets 2013 (September, 2013), IEEE [doi]  [abs].
  215. Kundu, S; Kar, U; Chakrabarty, K, Co-existence of multiple attractors in the PWM controlled DC drives, The European Physical Journal Special Topics, vol. 222 no. 3-4 (September, 2013), pp. 699-709, Springer Nature [doi]  [abs].
  216. Karimi, N; Chakrabarty, K, Detection, diagnosis, and recovery from Clock-Domain Crossing failures in multiclock SoCs, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 32 no. 9 (August, 2013), pp. 1395-1408, Institute of Electrical and Electronics Engineers (IEEE) [Gateway.cgi], [doi]  [abs].
  217. Luo, Y; Chakrabarty, K, Design of pin-constrained general-purpose Digital microfluidic biochips, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 32 no. 9 (August, 2013), pp. 1307-1320, Institute of Electrical and Electronics Engineers (IEEE) [Gateway.cgi], [doi]  [abs].
  218. Agrawal, M; Chakrabarty, K, Test-cost optimization and test-flow selection for 3D-stacked ICs, Proceedings of the Ieee Vlsi Test Symposium (August, 2013), IEEE [doi]  [abs].
  219. Noia, B; Chakrabarty, K, Post-DfT-insertion retiming for delay recovery on inter-die paths in 3D ICs, Proceedings of the Ieee Vlsi Test Symposium (August, 2013), IEEE [doi]  [abs].
  220. Hu, K; Ho, TY; Chakrabarty, K, Testing of flow-based microfluidic biochips, Proceedings of the Ieee Vlsi Test Symposium (August, 2013), IEEE [doi]  [abs].
  221. Jiang, L; Ye, F; Xu, Q; Chakrabarty, K; Eklow, B, On effective and efficient in-field TSV repair for stacked 3D ICs, Proceedings Design Automation Conference (July, 2013), ACM Press [doi]  [abs].
  222. Luo, Y; Chakrabarty, K; Ho, TY, Design of cyberphysical digital microfluidic biochips under completion-time uncertainties in fluidic operations, Proceedings Design Automation Conference (July, 2013), ACM Press [doi]  [abs].
  223. Bhattacharjee, S; Banerjee, A; Ho, T-Y; Chakrabarty, K; Bhattacharya, BB, Algorithms for Producing Linear Dilution Gradient with Digital Microfluidics (July, 2013) [1307.1251v1]  [abs].
  224. Chakrabarty, K; Kar, U; Kundu, S, Control of chaos in current controlled DC drives, Journal of Circuits, Systems and Computers, vol. 22 no. 5 (June, 2013), pp. 1350035-1350035, World Scientific Pub Co Pte Lt [doi]  [abs].
  225. Ye, F; Zhang, Z; Chakrabarty, K; Gu, X, Board-level functional fault diagnosis using artificial neural networks, support-vector machines, and weighted-majority voting, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 32 no. 5 (May, 2013), pp. 723-736, Institute of Electrical and Electronics Engineers (IEEE) [Gateway.cgi], [doi]  [abs].
  226. Noia, B; Chakrabarty, K, Pre-bond probing of through-silicon vias in 3-D stacked ICs, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 32 no. 4 (March, 2013), pp. 547-558, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  227. Basak, A; Gupta, SN; Chakrabarty, K; Das, GK, New bimolecular mechanistic pathway for 1,3-hydrogen shift in allenamide and allene system: A theoretical prediction, Computational and Theoretical Chemistry, vol. 1007 (March, 2013), pp. 15-30, Elsevier BV [Gateway.cgi], [doi] .
  228. Grover, S; Mattoo, SK; Aarya, KR; Pratim Das, P; Chakrabarty, K; Trzepacz, P; Gupta, N; Meagher, D, Replication analysis for composition of the Delirium Motor Subtype Scale (DMSS) in a referral cohort from Northern India., Psychiatry Research, vol. 206 no. 1 (March, 2013), pp. 68-74 [23021910], [doi]  [abs].
  229. Sen, S; Mukherjee, M; Chakrabarty, K; Hauli, I; Mukhopadhyay, SK; Chattopadhyay, P, Cell permeable fluorescent receptor for detection of H2PO4(-) in aqueous solvent., Organic & Biomolecular Chemistry, vol. 11 no. 9 (March, 2013), pp. 1537-1544 [23343974], [doi]  [abs].
  230. Bao, F; Peng, K; Yilmaz, M; Chakrabarty, K; Winemberg, L; Tehranipoor, M, Efficient pattern generation for small-delay defects using selection of critical faults, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 29 no. 1 (February, 2013), pp. 35-48, Springer Nature [Gateway.cgi], [doi]  [abs].
  231. Lien, WC; Lee, KJ; Hsieh, TY; Chakrabarty, K; Wu, YH, Counter-based output selection for test response compaction, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 32 no. 1 (January, 2013), pp. 152-164, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  232. Luo, Y; Chakrabarty, K; Ho, TY, Error recovery in cyberphysical digital microfluidic biochips, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 32 no. 1 (January, 2013), pp. 59-72, Institute of Electrical and Electronics Engineers (IEEE) [repository], [doi]  [abs].
  233. Roy, S; Bhattacharya, BB; Ghoshal, S; Chakrabarty, K, On-Chip Dilution from Multiple Concentrations of a Sample Fluid Using Digital Microfluidics, Communications in Computer and Information Science, vol. 382 CCIS (January, 2013), pp. 274-283, Springer Berlin Heidelberg [doi]  [abs].
  234. Bhattacharjee, S; Banerjee, A; Ho, TY; Chakrabarty, K; Bhattacharya, BB, On producing linear dilution gradient of a sample with a digital microfluidic biochip, Proceedings 4th International Symposium on Electronic System Design, Ised 2013 (January, 2013), pp. 77-81, IEEE [doi]  [abs].
  235. Roy, S; Bhattacharya, BB; Ghoshal, S; Chakrabarty, K, Optimal two-mixer scheduling in dilution engine on a digital microfluidic biochip, Proceedings 4th International Symposium on Electronic System Design, Ised 2013 (January, 2013), pp. 82-86, IEEE [doi]  [abs].
  236. Xiang, D; Liu, G; Chakrabarty, K; Fujiwara, H, Thermal-Aware test scheduling for NOC-based 3D integrated circuits, Ieee/Ifip International Conference on Vlsi and System on Chip, Vlsi Soc (January, 2013), pp. 96-101, IEEE [doi]  [abs].
  237. Roy, S; Chakrabarti, PP; Kumar, S; Bhattacharya, BB; Chakrabarty, K, Routing-aware resource allocation for mixture preparation in digital microfluidic biochips, Proceedings of Ieee Computer Society Annual Symposium on Vlsi, Isvlsi (January, 2013), pp. 165-170, IEEE [doi]  [abs].
  238. Shih, CJ; Hsieh, SA; Lu, YC; Li, JCM; Wu, TL; Chakrabarty, K, Test generation of path delay faults induced by defects in power TSV, Proceedings of the Asian Test Symposium (January, 2013), pp. 43-48, IEEE [doi]  [abs].
  239. Lien, WC; Lee, KJ; Hsieh, TY; Chakrabarty, K, A new LFSR reseeding scheme via internal response feedback, Proceedings of the Asian Test Symposium (January, 2013), pp. 97-102, IEEE [doi]  [abs].
  240. Ye, F; Jin, S; Zhang, Z; Chakrabarty, K; Gu, X, Handling missing syndromes in board-level functional-fault diagnosis, Proceedings of the Asian Test Symposium (January, 2013), pp. 73-78, IEEE [doi]  [abs].
  241. Wang, R; Chakrabarty, K; Eklow, B, Post-bond testing of the silicon interposer and micro-bumps in 2.5D ICs, Proceedings of the Asian Test Symposium (January, 2013), pp. 147-152, IEEE [doi]  [abs].
  242. Hu, K; Hsu, BN; Madison, A; Chakrabarty, K; Fair, R, Fault detection, real-time error recovery, and experimental demonstration for digital microfluidic biochips, Proceedings Design, Automation and Test in Europe, Date (January, 2013), pp. 559-564 [doi]  [abs].
  243. Deutsch, S; Chakrabarty, K, Non-invasive pre-bond TSV test using ring oscillators and multiple voltage levels, Proceedings Design, Automation and Test in Europe, Date (January, 2013), pp. 1065-1070 [doi]  [abs].
  244. Kavousianos, X; Chakrabarty, K, Testing for SoCs with advanced static and dynamic power-management capabilities, Proceedings Design, Automation and Test in Europe, Date (January, 2013), pp. 737-742 [doi]  [abs].
  245. Xiang, D; Li, J; Chakrabarty, K; Lin, X, Test compaction for small-delay defects using an effective path selection scheme, Acm Transactions on Design Automation of Electronic Systems, vol. 18 no. 3 (January, 2013), pp. 1-23, Association for Computing Machinery (ACM) [Gateway.cgi], [doi]  [abs].
  246. Kumar, S; Roy, S; Chakrabarti, PP; Bhattacharya, BB; Chakrabarty, K, Efficient mixture preparation on digital microfluidic biochips, Proceedings of the 2013 Ieee 16th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Ddecs 2013 (January, 2013), pp. 205-210, IEEE [doi]  [abs].
  247. Peng, K; Yilmaz, M; Chakrabarty, K; Tehranipoor, M, Crosstalk- and process variations-aware high-quality tests for small-delay defects, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 21 no. 6 (January, 2013), pp. 1129-1142, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  248. Shih, CJ; Hsu, CY; Kuo, CY; Li, J; Rau, JC; Chakrabarty, K, Thermal-aware test schedule and TAM co-optimization for three-dimensional IC, Active and Passive Electronic Components, vol. 2012 (December, 2012), pp. 1-10, Hindawi Limited [doi]  [abs].
  249. Roy, S; Bhattacharya, BB; Ghoshal, S; Chakrabarty, K, Low-cost dilution engine for sample preparation in digital microfluidic biochips, Proceedings 2012 International Symposium on Electronic System Design, Ised 2012 (December, 2012), pp. 203-207, IEEE [doi]  [abs].
  250. Chakrabarty, K, Towards more digital content in wireless systems [From the EiC], Ieee Design & Test of Computers, vol. 29 no. 6 (December, 2012), pp. 4, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  251. Kundu, S; Kar, U; Chakrabarty, K, Co-existing attractor of PWM controlled DC drives, Pedes 2012 Ieee International Conference on Power Electronics, Drives and Energy Systems (December, 2012), IEEE [doi]  [abs].
  252. Chakrabarty, K; Kar, U, Control of bifurcation of PWM controlled DC drives, Pedes 2012 Ieee International Conference on Power Electronics, Drives and Energy Systems (December, 2012), IEEE [doi]  [abs].
  253. Chakrabarty, K, Towards smarter silicon and data-driven design of integrated circuits [From the EIC], Ieee Design & Test of Computers, vol. 29 no. 5 (December, 2012), pp. 4-5, Institute of Electrical and Electronics Engineers (IEEE) [Gateway.cgi], [doi] .
  254. Mitra, D; Ghoshal, S; Rahaman, H; Chakrabarty, K; Bhattacharya, BB, Automated path planning for washing in digital microfluidic biochips, Ieee International Conference on Automation Science and Engineering (December, 2012), pp. 115-120, IEEE [mostRecentIssue.jsp], [doi]  [abs].
  255. Mitra, D; Ghoshal, S; Rahaman, H; Chakrabarty, K; Bhattacharya, BB, On-line error detection in digital microfluidic biochips, Proceedings of the Asian Test Symposium (December, 2012), pp. 332-337, IEEE [mostRecentIssue.jsp], [doi]  [abs].
  256. Hsieh, YL; Ho, TY; Chakrabarty, K, Design methodology for sample preparation on digital microfluidic biochips, Proceedings Ieee International Conference on Computer Design: Vlsi in Computers and Processors (December, 2012), pp. 189-194, IEEE [mostRecentIssue.jsp], [doi]  [abs].
  257. Ye, F; Zhang, Z; Chakrabarty, K; Gu, X, Board-level functional fault diagnosis using learning based on incremental support-vector machines, Proceedings of the Asian Test Symposium (December, 2012), pp. 208-213, IEEE [mostRecentIssue.jsp], [doi]  [abs].
  258. Ye, F; Zhang, Z; Chakrabarty, K; Gu, X, Adaptive board-level functional fault diagnosis using decision trees, Proceedings of the Asian Test Symposium (December, 2012), pp. 202-207, IEEE [mostRecentIssue.jsp], [doi]  [abs].
  259. Deutsch, S; Chakrabarty, K; Panth, S; Lim, SK, TSV stress-aware ATPG for 3D stacked ICs, Proceedings of the Asian Test Symposium (December, 2012), pp. 31-36, IEEE [mostRecentIssue.jsp], [doi]  [abs].
  260. Eggersgluss, S; Yilmaz, M; Chakrabarty, K, Robust timing-aware test generation using pseudo-boolean optimization, Proceedings of the Asian Test Symposium (December, 2012), pp. 290-295, IEEE [mostRecentIssue.jsp], [doi]  [abs].
  261. Guarnieri, V; Fummi, F; Chakrabarty, K, Reduced-complexity transition-fault test generation for non-scan circuits through high-level mutant injection, Proceedings of the Asian Test Symposium (December, 2012), pp. 302-307, IEEE [mostRecentIssue.jsp], [doi]  [abs].
  262. Noia, B; Panth, S; Chakrabarty, K; Lim, SK, Scan test of die logic in 3D ICs using TSV probing, Proceedings International Test Conference (December, 2012), pp. 1-8, IEEE [mostRecentIssue.jsp], [doi]  [abs].
  263. Agrawal, M; Richter, M; Chakrabarty, K, A dynamic programming solution for optimizing test delivery in multicore SOCs, Proceedings International Test Conference (December, 2012), pp. 1-10, IEEE [mostRecentIssue.jsp], [doi]  [abs].
  264. Mitra, D; Roy, S; Chakrabarty, K; Bhattacharya, BB, On-chip sample preparation with multiple dilutions using digital microfluidics, Proceedings 2012 Ieee Computer Society Annual Symposium on Vlsi, Isvlsi 2012 (October, 2012), pp. 314-319, IEEE [doi]  [abs].
  265. Chakrabarty, K, Electronic design methods and technologies for green buildings, Ieee Design & Test of Computers, vol. 29 no. 4 (October, 2012), pp. 4, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  266. Hsieh, YL; Ho, TY; Chakrabarty, K, A reagent-saving mixing algorithm for preparing multiple-target biochemical samples using digital microfluidics, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 31 no. 11 (October, 2012), pp. 1656-1669, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  267. Chakrabarty, K, Looking ahead at the role of electronic design automation in synthetic biology, Ieee Design & Test of Computers, vol. 29 no. 3 (October, 2012), pp. 4, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  268. Kavousianos, X; Chakrabarty, K; Jain, A; Parekhji, R, Test schedule optimization for multicore SoCs: Handling dynamic voltage scaling and multiple voltage islands, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 31 no. 11 (October, 2012), pp. 1754-1766, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  269. Chakrabarty, K; Deutsch, S; Thapliyal, H; Ye, F, TSV defects and TSV-induced circuit failures: The third dimension in test and design-for-test, Ieee International Reliability Physics Symposium Proceedings (September, 2012), pp. 5F.1.1-5F.1.12, IEEE [doi]  [abs].
  270. Lien, WC; Lee, KJ; Hsieh, TY; Chien, SS; Chakrabarty, K, Accumulator-based output selection for test response compaction, Iscas 2012 2012 Ieee International Symposium on Circuits and Systems (September, 2012), pp. 2313-2316, IEEE [doi]  [abs].
  271. Fang, H; Chakrabarty, K; Wang, Z; Gu, X, Diagnosis of board-level functional failures under uncertainty using Dempster-Shafer theory, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 31 no. 10 (September, 2012), pp. 1586-1599, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  272. Zamani, M; Tahoori, MB; Chakrabarty, K, Ping-pong test: Compact test vector generation for reversible circuits, Proceedings of the Ieee Vlsi Test Symposium (August, 2012), pp. 164-169, IEEE [doi]  [abs].
  273. Kavousianos, X; Chakrabarty, K; Jain, A; Parekhji, R, Time-division multiplexing for testing SoCs with DVS and multiple voltage islands, Proceedings 2012 17th Ieee European Test Symposium, Ets 2012 (August, 2012), IEEE [repository], [doi]  [abs].
  274. Gu, X; Rearick, J; Eklow, B; Keim, M; Qian, J; Jutman, A; Chakrabarty, K; Larsson, E, Re-using chip level DFT at board level, Proceedings 2012 17th Ieee European Test Symposium, Ets 2012 (August, 2012), IEEE [doi]  [abs].
  275. Zhang, Z; Gu, X; Xie, Y; Wang, Z; Chakrabarty, K, Diagnostic system based on support-vector machines for board-level functional diagnosis, Proceedings 2012 17th Ieee European Test Symposium, Ets 2012 (August, 2012), IEEE [doi]  [abs].
  276. Chakrabarty, K; Von Oerthel, L; Hellemons, A; Clotman, F; Espana, A; Groot Koerkamp, M; Holstege, FCP; Pasterkamp, RJ; Smidt, MP, Genome wide expression profiling of the mesodiencephalic region identifies novel factors involved in early and late dopaminergic development., Biology Open, vol. 1 no. 8 (August, 2012), pp. 693-704 [23213462], [doi]  [abs].
  277. Roy, S; Mitra, D; Bhattacharya, BB; Chakrabarty, K, Congestion-aware layout design for high-throughput digital microfluidic biochips, Acm Journal on Emerging Technologies in Computing Systems, vol. 8 no. 3 (August, 2012), pp. 1-23, Association for Computing Machinery (ACM) [doi]  [abs].
  278. Chakrabarty, K, Standards, interoperability, and innovation in a disaggregated IC industry, Ieee Design & Test of Computers, vol. 29 no. 2 (July, 2012), pp. 4, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  279. Hsu, CY; Kuo, CY; Li, JCM; Chakrabarty, K, 3D IC test scheduling using simulated annealing, 2012 International Symposium on Vlsi Design, Automation and Test, Vlsi Dat 2012 Proceedings of Technical Papers (July, 2012) [doi]  [abs].
  280. Ye, F; Chakrabarty, K, TSV open defects in 3D integrated circuits: Characterization, test, and optimal spare allocation, Proceedings Design Automation Conference (July, 2012), pp. 1024-1030, ACM Press [doi]  [abs].
  281. Luo, Y; Chakrabarty, K, Design of pin-constrained general-purpose digital microfluidic biochips, Proceedings Design Automation Conference (July, 2012), pp. 18-25, ACM Press [doi]  [abs].
  282. Chakrabarty, K; Gupta, SN; Das, GK; Roy, S, Theoretical studies on the pyridoxal-5'-phosphate dependent enzyme dopa decarboxylase: effect of thr 246 residue on the co-factor-enzyme binding and reaction mechanism., Indian Journal of Biochemistry & Biophysics, vol. 49 no. 3 (June, 2012), pp. 155-164 [22803330]  [abs].
  283. Zhao, Y; Chakrabarty, K, Cross-contamination avoidance for droplet routing in digital microfluidic biochips, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 31 no. 6 (May, 2012), pp. 817-830, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  284. Luo, Y; Chakrabarty, K; Ho, TY, A cyberphysical synthesis approach for error recovery in digital microfluidic biochips, Proceedings Design, Automation and Test in Europe, Date (May, 2012), pp. 1239-1244, IEEE [doi]  [abs].
  285. Zhang, Z; Wang, Z; Gu, X; Chakrabarty, K, Physical-defect modeling and optimization for fault-insertion test, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 20 no. 4 (April, 2012), pp. 723-736, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  286. Zhao, Y; Chakrabarty, K; Bhattacharya, BB, Testing of low-cost digital microfluidic biochips with non-regular array layouts, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 28 no. 2 (April, 2012), pp. 243-255, Springer Nature [doi]  [abs].
  287. Fang, H; Chakrabarty, K; Wang, Z; Gu, X, Reproduction and detection of board-level functional failure, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 31 no. 4 (April, 2012), pp. 630-643, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  288. Zhao, Y; Chakrabarty, K, Simultaneous optimization of droplet routing and control-pin mapping to electrodes in digital microfluidic biochips, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 31 no. 2 (February, 2012), pp. 242-254, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  289. Luo, Y; Chakrabarty, K; Ho, TY, Dictionary-based error recovery in cyberphysical digital-microfluidic biochips, edited by Hu, AJ, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad (January, 2012), pp. 369-376, ACM [2429384], [doi]  [abs].
  290. Zhao, Y; Chakrabarty, K; Sturmer, R; Pamula, VK, Optimization techniques for the synchronization of concurrent fluidic operations in pin-constrained digital microfluidic biochips, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 20 no. 6 (January, 2012), pp. 1132-1145, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  291. Chakrabarty, K, The quest for high-yield IC manufacturing, Ieee Design & Test of Computers, vol. 29 no. 1 (January, 2012), pp. 4 [doi] .
  292. Karimi, N; Chakrabarty, K; Gupta, P; Patil, S, Test generation for clock-domain crossing faults in integrated circuits, Proceedings Design, Automation and Test in Europe, Date (January, 2012), pp. 406-411, IEEE [doi]  [abs].
  293. Richter, M; Chakrabarty, K, Test pin count reduction for NoC-based test delivery in multicore SOCs, Proceedings Design, Automation and Test in Europe, Date (January, 2012), pp. 787-792, IEEE [doi]  [abs].
  294. Jiang, L; Xu, Q; Chakrabarty, K; Mak, TM, Integrated test-architecture optimization and thermal-aware test scheduling for 3-D SoCs under pre-bond test-pin-count constraint, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 20 no. 9 (January, 2012), pp. 1621-1633 [doi]  [abs].
  295. Fang, H; Chakrabarty, K; Jas, A; Patil, S; Tirumurti, C, Functional test-sequence grading at register-transfer level, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 20 no. 10 (January, 2012), pp. 1890-1894, Institute of Electrical and Electronics Engineers (IEEE) [repository], [doi]  [abs].
  296. Noia, B; Chakrabarty, K; Marinissen, EJ, Optimization methods for post-bond testing of 3D stacked ICs, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 28 no. 1 (January, 2012), pp. 103-120, Springer Nature [doi]  [abs].
  297. Chakrabarty, K, Attributive Indiscernibility and the notion of BM-Spaces, 2012 Ieee International Conference on Granular Computing (Grc 2012) (2012), pp. 703-706 [Gateway.cgi] .
  298. Chakrabarty, K; Chatterjee, A, Impact of remedial intervention on mental health issues associated with learning disabled students, International Journal of Psychology : Journal International De Psychologie, vol. 47 (2012), pp. 295-295 [Gateway.cgi] .
  299. Chakrabarty, K; Banerjee, M; Sengupta, M, Dance/movement therapy on autism, International Journal of Psychology : Journal International De Psychologie, vol. 47 (2012), pp. 290-290 [Gateway.cgi] .
  300. Chakrabarty, K, The Quest for High-Yield IC Manufacturing, Ieee Design & Test of Computers, vol. 29 no. 1 (2012), pp. 4-4 [Gateway.cgi], [doi] .
  301. Hsieh, YL; Ho, TY; Chakrabarty, K, On-chip biochemical sample preparation using digital microfluidics, 2011 Ieee Biomedical Circuits and Systems Conference, Biocas 2011 (December, 2011), pp. 297-300, IEEE [doi]  [abs].
  302. Chakrabarty, K; Dispoto, G; Bellamy, R; Zeng, J, The role of EDA in digital print automation and infrastructure optimization, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad (December, 2011), pp. 158-161, IEEE [doi]  [abs].
  303. Kavousianos, X; Chakrabarty, K; Jain, A; Parekhji, R, Test scheduling for multicore SoCs with dynamic voltage scaling and multiple voltage islands, Proceedings of the Asian Test Symposium (December, 2011), pp. 33-39, IEEE [doi]  [abs].
  304. Huang, TW; Ho, TY; Chakrabarty, K, Reliability-oriented broadcast electrode-addressing for pin-constrained digital microfluidic biochips, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad (December, 2011), pp. 448-455, IEEE [doi]  [abs].
  305. Noia, B; Chakrabarty, K, Testing and design-for-testability techniques for 3D integrated circuits, Proceedings of the Asian Test Symposium (December, 2011), pp. 474-479, IEEE [doi]  [abs].
  306. Noia, B; Chakrabarty, K, Identification of defective TSVs in pre-bond testing of 3D ICs, Proceedings of the Asian Test Symposium (December, 2011), pp. 187-194, IEEE [doi]  [abs].
  307. Bao, F; Peng, K; Chakrabarty, K; Tehranipoor, M, On generation of 1-detect TDF pattern set with significantly increased SDD coverage, Proceedings of the Asian Test Symposium (December, 2011), pp. 120-125, IEEE [doi]  [abs].
  308. Zhong, S; Khursheed, S; Al-Hashimi, BM; Reddy, SM; Chakrabarty, K, Analysis of resistive bridge defect delay behavior in the presence of process variation, Proceedings of the Asian Test Symposium (December, 2011), pp. 389-394, IEEE [doi]  [abs].
  309. Karimi, N; Kong, Z; Chakrabarty, K; Gupta, P; Patil, S, Testing of clock-domain crossing faults in multi-core system-on-chip, Proceedings of the Asian Test Symposium (December, 2011), pp. 7-14, IEEE [doi]  [abs].
  310. Noia, B; Chakrabarty, K, Pre-bond probing of TSVs in 3D stacked ICs, Proceedings International Test Conference (December, 2011), IEEE [doi]  [abs].
  311. Zhang, Z; Chakrabarty, K; Wang, Z; Gu, X, Smart diagnosis: Efficient board-level diagnosis and repair using artificial neural networks, Proceedings International Test Conference (December, 2011), IEEE [doi]  [abs].
  312. Chakrabarty, K; Zhao, Y, Digital Microfluidic Biochips: A Vision for Functional Diversity and More than Moore, Lecture Notes in Electrical Engineering, vol. 105 LNEE (December, 2011), pp. 263-285, Springer Netherlands [doi]  [abs].
  313. Syed, US; Chakrabarty, K; Chandra, A; Kapur, R, 3D-scalable adaptive scan (3D-SAS), 2011 Ieee International 3d Systems Integration Conference, 3dic 2011 (December, 2011), IEEE [doi]  [abs].
  314. Noia, B; Chakrabarty, K, Pre-bond testing of die logic and TSVs in high performance 3D-SICs, 2011 Ieee International 3d Systems Integration Conference, 3dic 2011 (December, 2011), IEEE [doi]  [abs].
  315. Kavousianos, X; Tenentes, V; Chakrabarty, K; Kalligeros, E, Defect-oriented LFSR reseeding to target unmodeled defects using stuck-at test sets, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 19 no. 12 (December, 2011), pp. 2330-2335, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  316. Chakrabarty, K; Kar, U; Kundu, S, Bifurcation behavior & co-existing attractor of PWM controlled DC drives, Proceedings 2011 Annual Ieee India Conference: Engineering Sustainable Solutions, Indicon 2011 (December, 2011), IEEE [doi]  [abs].
  317. Ho, TY; Chakrabarty, K; Pop, P, Digital microfluidic biochips: Recent research and emerging challenges, Embedded Systems Week 2011, Esweek 2011 Proceedings of the 9th Ieee/Acm/Ifip International Conference on Hardware/Software Codesign and System Synthesis, Codes+Isss'11 (November, 2011), pp. 335-343, ACM Press [doi]  [abs].
  318. Agrawal, M; Duan, Q; Chakrabarty, K; Zeng, J; Lin, IJ; Dispoto, G; Lee, YS, Digital print workflow optimization under due-dates, opportunity cost and resource constraints, Ieee International Conference on Industrial Informatics (Indin) (November, 2011), pp. 86-92, IEEE [doi]  [abs].
  319. Chen, Z; Chakrabarty, K; Xiang, D, MVP: Minimum-violations partitioning for reducing capture power in at-speed delay-fault testing, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 30 no. 11 (November, 2011), pp. 1762-1767, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  320. Noia, B; Chakrabarty, K; Goel, SK; Marinissen, EJ; Verbree, J, Test-architecture optimization and test scheduling for TSV-based 3-D stacked ICs, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 30 no. 11 (November, 2011), pp. 1705-1718, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  321. Chakrabarty, K, The promise of flexible electronics, Ieee Design & Test of Computers, vol. 28 no. 6 (November, 2011), pp. 4, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  322. Mitra, D; Ghoshal, S; Rahaman, H; Chakrabarty, K; Bhattacharya, BB, Test planning in digital microfluidic biochips using efficient eulerization techniques, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 27 no. 5 (October, 2011), pp. 657-671, Springer Nature [doi]  [abs].
  323. Zhang, Z; Kavousianos, X; Tsiatouhas, Y; Chakrabarty, K, A BIST scheme for testing and repair of multi-mode power switches, Proceedings of the 2011 Ieee 17th International on Line Testing Symposium, Iolts 2011 (September, 2011), pp. 115-120, IEEE [doi]  [abs].
  324. Chakrabarty, K, Asynchronous design: Distant dream or reality?, Ieee Design & Test of Computers, vol. 28 no. 5 (September, 2011), pp. 2, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  325. Bao, F; Peng, K; Yilmaz, M; Chakrabarty, K; Winemberg, LR; Tehranipoo, M, Critical fault-based pattern generation for screening SDDs, Proceedings 16th Ieee European Test Symposium, Ets 2011 (August, 2011), pp. 177-182, IEEE [doi]  [abs].
  326. Fang, H; Wang, Z; Gu, X; Chakrabarty, K, Ranking of suspect faulty blocks using dataflow analysis and dempster-shafer theory for the diagnosis of board-level functional failures, Proceedings 16th Ieee European Test Symposium, Ets 2011 (August, 2011), pp. 195-200, IEEE [doi]  [abs].
  327. Zhang, Z; Kavousianos, X; Luo, Y; Tsiatouhas, Y; Chakrabarty, K, Signature analysis for testing, diagnosis, and repair of multi-mode power switches, Proceedings 16th Ieee European Test Symposium, Ets 2011 (August, 2011), pp. 13-18, IEEE [doi]  [abs].
  328. Zhao, Y; Xu, T; Chakrabarty, K, Broadcast electrode-addressing and scheduling methods for pin-constrained digital microfluidic biochips, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 30 no. 7 (July, 2011), pp. 986-999, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  329. Chakrabarty, K, Toward FPGA-enabled scientific computing, Ieee Design & Test of Computers, vol. 28 no. 4 (July, 2011), pp. 4, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  330. Mitra, D; Ghoshal, S; Rahaman, H; Chakrabarty, K; Bhattacharya, BB, On residue removal in digital microfluidic biochips, Proceedings of the Acm Great Lakes Symposium on Vlsi, Glsvlsi (June, 2011), pp. 391-394, ACM Press [doi]  [abs].
  331. Stratigopoulos, HG; Chakrabarty, K, Journal of Electronic Testing: Theory and Applications (JETTA): Guest editorial, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 27 no. 3 (June, 2011), pp. 223, Springer Nature [doi] .
  332. Roy, S; Bhattacharya, BB; Chakrabarty, K, Waste-aware dilution and mixing of biochemical samples with digital microfluidic biochips, Proceedings Design, Automation and Test in Europe, Date (May, 2011), pp. 1059-1064, IEEE [doi]  [abs].
  333. Kavousianos, X; Chakrabarty, K, Generation of compact stuck-at test sets targeting unmodeled defects, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 30 no. 5 (May, 2011), pp. 787-791, Institute of Electrical and Electronics Engineers (IEEE) [repository], [doi]  [abs].
  334. Chakrabarty, K, Toward bug-free multicore soc architectures: System validation with transaction-level models, Ieee Design & Test of Computers, vol. 28 no. 3 (May, 2011), pp. 4, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  335. Noia, B; Chakrabarty, K, Test-wrapper optimisation for embedded cores in through-silicon via-based three-dimensional system on chips, Iet Computers & Digital Techniques, vol. 5 no. 3 (May, 2011), pp. 186-197, Institution of Engineering and Technology (IET) [doi]  [abs].
  336. Zhao, Y; Chakrabarty, K, Co-optimization of droplet routing and pin assignment in disposable digital microfluidic biochips, Proceedings of the International Symposium on Physical Design (April, 2011), pp. 69-76, ACM Press [doi]  [abs].
  337. Chakrabarty, K, Testing and design-for-testability solutions for 3D integrated circuits, edited by Kraemer, R; Pawlak, A; Steininger, A; Schölzel, M; Raik, J; Vierhaus, HT, 14th Ieee International Symposium on Design and Diagnostics of Electronic Circuits and Systems (April, 2011), pp. 5-5, IEEE [mostRecentIssue.jsp], [doi] .
  338. Fang, H; Wang, Z; Gu, X; Chakrabarty, K, Deterministic test for the reproduction and detection of board-level functional failures, Proceedings of the Asia and South Pacific Design Automation Conference, Asp Dac (March, 2011), pp. 491-496, IEEE [doi]  [abs].
  339. Roy, S; Bhattacharya, BB; Chakrabarti, PP; Chakrabarty, K, Layout-aware solution preparation for biochemical analysis on a digital microfluidic biochip, Proceedings of the Ieee International Conference on Vlsi Design (March, 2011), pp. 171-176, IEEE [doi]  [abs].
  340. Zhang, Z; Kavousianos, X; Chakrabarty, K; Tsiatouhas, Y, A robust and reconfigurable multi-mode power gating architecture, Proceedings of the Ieee International Conference on Vlsi Design (March, 2011), pp. 280-285, IEEE [doi]  [abs].
  341. Yilmaz, M; Tehranipoor, M; Chakrabarty, K, A metric to target small-delay defects in industrial circuits, Ieee Design & Test of Computers, vol. 28 no. 2 (March, 2011), pp. 52-61, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  342. Zhou, T; Choudhury, RR; Ning, P; Chakrabarty, K, P2DAP - Sybil attacks detection in vehicular ad hoc networks, Ieee Journal on Selected Areas in Communications, vol. 29 no. 3 (March, 2011), pp. 582-594, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  343. Chakrabarty, K, Targeting design, verification, and test challenges, Ieee Design & Test of Computers, vol. 28 no. 2 (March, 2011), pp. 4-5, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  344. Zhao, Y; Chakrabarty, K, Fault diagnosis in lab-on-chip using digital microfluidic logic gates, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 27 no. 1 (February, 2011), pp. 69-83, Springer Nature [doi]  [abs].
  345. Agrawal, M; Chakrabarty, K; Zeng, J; Lin, IJ; Dispoto, G, Simultaneous task scheduling and resource binding for digital print automation, 61st Annual Iie Conference and Expo Proceedings (January, 2011)  [abs].
  346. Li, S; Tiwari, A; Prabaharan, M; Aryal, S, Preface, Smart Polymer Materials for Biomedical Applications, vol. 5 no. 1-3 (January, 2011), pp. vii-vii, Elsevier BV [doi] .
  347. Chakrabarty, K, Design and Optimization Methods for Digital Microfluidic Biochips: A Vision for Functional Diversity and More than Moore, 2011 Ieee International Soc Conference (Socc) (January, 2011), pp. 5-5, IEEE [mostRecentIssue.jsp], [doi] .
  348. Karapetyan, G; Chakrabarty, K; Hein, M; Langer, P, Synthesis and bioactivity of carbohydrate derivatives of indigo, its isomers and heteroanalogues., Chemmedchem, vol. 6 no. 1 (January, 2011), pp. 25-37 [21108279], [doi] .
  349. Chakrabarty, K, Embedded memory technologies: Present and future, Ieee Design & Test of Computers, vol. 28 no. 1 (January, 2011), pp. 4, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  350. Roy, S; Chakrabarty, K; Gupta, SN; Das, GK, Changeover from (3,4) ene cyclization to (3,5) mode under the influence of Lewis acid catalyst: A quantum mechanical study, Indian Journal of Chemistry Section B Organic and Medicinal Chemistry, vol. 50 no. 11 (2011), pp. 1637-1644 [Gateway.cgi] .
  351. Chakrabarty, K; Despi, I, A note on knowledge representation using BB-mappings., edited by Hong, T-P; Kudo, Y; Kudo, M; Lin, TY; Chien, B-C; Wang, S-L; Inuiguchi, M; Liu, G, Grc (2011), pp. 83-88, IEEE Computer Society [mostRecentIssue.jsp], [doi] .
  352. Chakrabarty, K; Despi, I, On the Notion of IF-Shadows, edited by Deng, H; Miao, D; Lei, J; Wang, FL, Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), vol. 7002 (2011), pp. 566-570, SPRINGER [978-3-642-23881-9], [doi] .
  353. Chakrabarty, K; Pop, P; Ho, T-Y, Digital microfluidic biochips: functional diversity, more than moore, and cyberphysical systems., edited by Dick, RP; Madsen, J, Codes+Isss (2011), pp. 377-378, ACM [html], [doi] .
  354. Despi, I; Song, G; Chakrabarty, K, A new intuitionitic Fuzzy Cognitive Maps building method., Fskd (2011), pp. 574-578, IEEE [tocresult.jsp], [doi] .
  355. Goel, SK; Chakrabarty, K, Power-Aware test data compression and BIST (December, 2010), pp. 147-173, Springer US [doi]  [abs].
  356. Sabbineni, H; Chakrabarty, K, Datacollection in event-driven wireless sensor networks with mobile sinks, International Journal of Distributed Sensor Networks, vol. 2010 no. 1 (December, 2010), pp. 402680-402680, SAGE Publications [repository], [doi]  [abs].
  357. Goel, SK; Chakrabarty, K; Yilmaz, M; Peng, K; Tehranipoor, M, Circuit topology-based test pattern generation for small-delay defects, Proceedings of the Asian Test Symposium (December, 2010), pp. 307-312, IEEE [doi]  [abs].
  358. Peng, K; Yilmaz, M; Chakrabarty, K; Tehranipoor, M, A noise-aware hybrid method for SDD pattern grading and selection, Proceedings of the Asian Test Symposium (December, 2010), pp. 331-336, IEEE [doi]  [abs].
  359. Mitra, D; Ghoshal, S; Rahaman, H; Chakrabarty, K; Bhattacharya, BB, Testing of digital microfluidic biochips using improved eulerization techniques and the Chinese postman problem, Proceedings of the Asian Test Symposium (December, 2010), pp. 111-116, IEEE [doi]  [abs].
  360. Zhang, Z; Wang, Z; Gu, X; Chakrabarty, K, Optimization and selection of diagnosis-oriented fault-insertion points for system test, Proceedings of the Asian Test Symposium (December, 2010), pp. 429-432, IEEE [doi]  [abs].
  361. Kavousianos, X; Chakrabarty, K; Kalligeros, E; Tenentes, V, Defect coverage-driven window-based test compression, Proceedings of the Asian Test Symposium (December, 2010), pp. 141-146, IEEE [doi]  [abs].
  362. Roy, S; Mitra, D; Bhattacharya, BB; Chakrabarty, K, Pin-constrained designs of digital microfluidic biochips for high-throughput bioassays, Proceedings 2010 International Symposium on Electronic System Design, Ised 2010 (December, 2010), pp. 4-9, IEEE [doi]  [abs].
  363. Sabbineni, H; Chakrabarty, K, An energy-efficient data delivery scheme for delay-sensitive traffic in wireless sensor networks, International Journal of Distributed Sensor Networks, vol. 2010 no. 1 (December, 2010), pp. 792068-792068, SAGE Publications [repository], [doi]  [abs].
  364. Fang, H; Wang, Z; Gu, X; Chakrabarty, K, Mimicking of functional state space with structural tests for the diagnosis of board-level functional failures, Proceedings of the Asian Test Symposium (December, 2010), pp. 421-428, IEEE [doi]  [abs].
  365. Zhao, Y; Chakrabarty, K, Testing of low-cost digital microfluidic biochips with non-regular array layouts, Proceedings of the Asian Test Symposium (December, 2010), pp. 27-32, IEEE [doi]  [abs].
  366. Noia, B; Goel, SK; Chakrabarty, K; Marinissen, EJ; Verbree, J, Test-architecture optimization for TSV-based 3D stacked ICs, 2010 15th Ieee European Test Symposium, Ets'10 (November, 2010), pp. 24-29, IEEE [doi]  [abs].
  367. Roy, S; Bhattacharya, BB; Chakrabarty, K, Optimization of dilution and mixing of biochemical samples using digital microfluidic biochips, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 29 no. 11 (November, 2010), pp. 1696-1708, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  368. Chakrabarty, K, Increasing yield and reliability through postsilicon tuning, Ieee Design & Test of Computers, vol. 27 no. 6 (November, 2010), pp. 2, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  369. Chakrabarty, K, Digital microfluidic biochips: A vision for functional diversity and more than moore, Proceedings Ieee Annual Symposium on Vlsi, Isvlsi 2010 (October, 2010), pp. 3-4, IEEE [doi]  [abs].
  370. Wu, X; Chen, Y; Chakrabarty, K; Yuan Xie,, Test-access mechanism optimization for core-based three-dimensional SOCs, Microelectronics Journal, vol. 41 no. 10 (October, 2010), pp. 601-615, Elsevier BV [doi]  [abs].
  371. Chakrabarty, K; Zhao, Y, Toward fault-tolerant and reconfigurable digital microfluidic biochips, Proceedings of the 2nd Asia Symposium on Quality Electronic Design, Asqed 2010 (September, 2010), pp. 198-207, IEEE [doi]  [abs].
  372. Zhao, Y; Chakrabarty, K, Synchronization of washing operations with droplet routing for cross-contamination avoidance in digital microfluidic biochips, Proceedings Design Automation Conference (September, 2010), pp. 635-640, ACM Press [doi]  [abs].
  373. Chakrabarty, K; Saha, P; Ghoshal, AK, Separation of lignosulfonate from its aqueous solution using emulsion liquid membrane, Journal of Membrane Science, vol. 360 no. 1-2 (September, 2010), pp. 34-39, Elsevier BV [Gateway.cgi], [doi] .
  374. Chakrabarty, K, Next-generation design and test innovations, Ieee Design & Test of Computers, vol. 27 no. 5 (September, 2010), pp. 4, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  375. Khursheed, S; Al-Hashimi, BM; Chakrabarty, K; Harrod, P, Gate-sizing-based single Vdd test for bridge defects in multivoltage designs, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 29 no. 9 (September, 2010), pp. 1409-1421, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  376. Zhao, Y; Xu, T; Chakrabarty, K, Integrated control-path design and error recovery in the synthesis of digital microfluidic lab-on-chip, Acm Journal on Emerging Technologies in Computing Systems, vol. 6 no. 3 (August, 2010), pp. 1-28, Association for Computing Machinery (ACM) [doi]  [abs].
  377. Yang Zhao, ; Chakrabarty, K, Digital Microfluidic Logic Gates and Their Application to Built-in Self-Test of Lab-on-Chip., Ieee Transactions on Biomedical Circuits and Systems, vol. 4 no. 4 (August, 2010), pp. 250-262 [doi]  [abs].
  378. Chakrabarty, K; Gupta, SN; Roy, S; Das, GK, Effect of the remote polar substituents on the pi-facial selectivity of carbonyl ene reaction: A computational study, Journal of Molecular Structure: Theochem, vol. 951 no. 1-3 (July, 2010), pp. 1-6, Elsevier BV [Gateway.cgi], [doi] .
  379. Chakrabarty, K; Fair, RB; Zeng, J, Design tools for digital microfluidic biochips: Toward functional diversification and more than Moore, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 29 no. 7 (July, 2010), pp. 1001-1017, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  380. Chakrabarty, K, Overcoming interconnect bottlenecks in gigascale ICs, Ieee Design & Test of Computers, vol. 27 no. 4 (July, 2010), pp. 4, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  381. Peng, K; Thibodeau, J; Yilmaz, M; Chakrabarty, K; Tehranipoor, M, A novel hybrid method for SDD pattern grading and selection, Proceedings of the Ieee Vlsi Test Symposium (June, 2010), pp. 45-50, IEEE [doi]  [abs].
  382. Zhao, Y; Chakrabarty, K, Pin-count-aware online testing of digital microfluidic biochips, Proceedings of the Ieee Vlsi Test Symposium (June, 2010), pp. 111-116, IEEE [doi]  [abs].
  383. Zhang, Z; Wang, Z; Gu, X; Chakrabarty, K, Board-level fault diagnosis using bayesian inference, Proceedings of the Ieee Vlsi Test Symposium (June, 2010), pp. 244-249, IEEE [doi]  [abs].
  384. Peng, K; Yilmaz, M; Tehranipoor, M; Chakrabarty, K, High-quality pattern selection for screening small-delay defects considering process variations and crosstalk, Proceedings Design, Automation and Test in Europe, Date (June, 2010), pp. 1426-1431  [abs].
  385. Chakrabarty, K, From the EIC: Enabling design and manufacturing through innovations in DFT, Ieee Design & Test of Computers, vol. 27 no. 3 (May, 2010), pp. 2, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  386. Yilmaz, M; Chakrabarty, K; Tehranipoor, M, Test-pattern selection for screening small-delay defects in very-deep submicrometer integrated circuits, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 29 no. 5 (May, 2010), pp. 760-773, Institute of Electrical and Electronics Engineers (IEEE) [repository], [doi]  [abs].
  387. Chakrabarty, K; Forzato, C; Nitti, P; Pitacco, G; Valentin, E, The First Kinetic Enzymatic Resolution of Methyl Ester of C75, Letters in Organic Chemistry, vol. 7 no. 3 (April, 2010), pp. 245-248, Bentham Science Publishers Ltd. [Gateway.cgi], [doi] .
  388. Xu, T; Chakrabarty, K; Pamula, VK, Defect-tolerant design and optimization of a digital microfluidic biochip for protein crystallization, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 29 no. 4 (April, 2010), pp. 552-565, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  389. Chakrabarty, K, Digital microfluidic biochips: A vision for functional diversity and more than Moore, Proceedings of the Ieee International Conference on Vlsi Design (March, 2010), pp. 452-457, IEEE [doi]  [abs].
  390. Zhao, Y; Sturmer, R; Chakrabarty, K; Pamula, VK, Synchronization of Concurrently-Implemented Fluidic Operations in Pin-Constrained Digital Microfluidic Biochips, Proceedings of the Ieee International Conference on Vlsi Design (March, 2010), pp. 69-74, IEEE [doi]  [abs].
  391. Shaik, AB; Chakrabarty, K; Saha, P; Ghoshal, AK, Separation of Hg(II) from Its Aqueous Solution Using Bulk Liquid Membrane, Industrial & Engineering Chemistry Research, vol. 49 no. 6 (March, 2010), pp. 2889-2894, American Chemical Society (ACS) [Gateway.cgi], [doi] .
  392. Chakrabarty, K; Saha, P; Ghoshal, AK, Separation of mercury from its aqueous solution through supported liquid membrane using environmentally benign diluent, Journal of Membrane Science, vol. 350 no. 1-2 (March, 2010), pp. 395-401, Elsevier BV [Gateway.cgi], [doi] .
  393. Chakrabarty, K, ACM Journal on Emerging Technologies in Computing Systems: Editorial, Acm Journal on Emerging Technologies in Computing Systems, vol. 6 no. 1 (March, 2010), pp. 1-2, Association for Computing Machinery (ACM) [doi] .
  394. Chakrabarty, K, Compact variability modeling to the rescue, Ieee Design & Test of Computers, vol. 27 no. 2 (March, 2010), pp. 4, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  395. Chakrabarty, K; Saha, P; Ghoshal, AK, Simultaneous separation of mercury and lignosulfonate from aqueous solution using supported liquid membrane, Journal of Membrane Science, vol. 346 no. 1 (January, 2010), pp. 37-44, Elsevier BV [Gateway.cgi], [doi] .
  396. Chakrabarty, K, Design Automation and Test Solutions for Digital Microfluidic Biochips, Ieee Transactions on Circuits and Systems I: Regular Papers, vol. 57 no. 1 (January, 2010), pp. 4-17, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  397. Chakrabarty, K, Design and test in the new decade: Continuity and new directions, Ieee Design & Test of Computers, vol. 27 no. 1 (January, 2010), pp. 4-5, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  398. Balatsouka, S; Tenentes, V; Kavousianos, X; Chakrabarty, K, Defect aware X-filling for low-power scan testing, Proceedings Design, Automation and Test in Europe, Date (January, 2010), pp. 873-878 [doi]  [abs].
  399. Shafik, RA; Al-Hashimi, BM; Chakrabarty, K, Soft error-aware design optimization of low power and time-constrained embedded systems, Proceedings Design, Automation and Test in Europe, Date (January, 2010), pp. 1462-1467 [doi]  [abs].
  400. Chen, Y; Niu, D; Xie, Y; Chakrabarty, K, Cost-effective integration of three-dimensional (3D) ICs emphasizing testing cost analysis, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad (January, 2010), pp. 471-475, IEEE [doi]  [abs].
  401. Ho, TY; Zengt, J; Chakrabarty, K, Digital microfluidic biochips: A vision for functional diversity and more than moore, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad (January, 2010), pp. 578-585, IEEE [doi]  [abs].
  402. Chen, Z; Chakrabarty, K; Xiang, D, MVP: Capture-power reduction with minimum-violations partitioning for delay testing, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad (January, 2010), pp. 149-154, IEEE [doi]  [abs].
  403. Noia, B; Chakrabarty, K; Marinissen, EJ, Optimization methods for post-bond die-internal/external testing in 3D stacked ICs, Proceedings International Test Conference (January, 2010), IEEE [doi]  [abs].
  404. Zhang, Z; Wang, Z; Gu, X; Chakrabarty, K, Board-level fault diagnosis using an error-flow dictionary, Proceedings International Test Conference (January, 2010), IEEE [doi]  [abs].
  405. Fang, H; Chakrabarty, K; Fujiwara, H, RTL DFT techniques to enhance defect coverage for functional test sequences, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 26 no. 2 (January, 2010), pp. 151-164, Springer Nature [doi]  [abs].
  406. Sanyal, A; Chakrabarty, K; Yilmaz, M; Fujiwara, H, RT-level design-for-testability and expansion of functional test sequences for enhanced defect coverage, Proceedings International Test Conference (January, 2010), IEEE [doi]  [abs].
  407. Chen, Z; Chakrabarty, K; Xiang, D, MVP: Capture-Power Reduction with Minimum-Violations Partitioning for Delay Testing, 2012 Ieee/Acm International Conference on Computer Aided Design (Iccad) (2010), pp. 149-154 [Gateway.cgi] .
  408. Chakrabarty, K, Enabling design and manufacturing through innovations in DFT, Ieee Design & Test of Computers, vol. 27 no. 3 (2010), pp. 2-2 [Gateway.cgi] .
  409. Chakrabarty, K, A Note on the Effect of Knowledge Refinement on Bag Structures, edited by Yu, J; Greco, S; Lingras, P; Wang, G; Skowron, A, Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), vol. 6401 (2010), pp. 281-287, SPRINGER [978-3-642-16248-0], [doi] .
  410. Zhang, Z; Wang, Z; Gu, X; Chakrabarty, K, Physical defect modeling for fault insertion in system reliability test, Proceedings International Test Conference (December, 2009), IEEE [doi]  [abs].
  411. Zhou, T; Choudhury, RR; Chakrabarty, K, Diverse routing: Exploiting social behavior for routing in delay-tolerant networks, Proceedings 12th Ieee International Conference on Computational Science and Engineering, Cse 2009, vol. 4 (December, 2009), pp. 1115-1122, IEEE [doi]  [abs].
  412. Zhao, Y; Xu, T; Chakrabarty, K, Digital microfluidic logic gates, edited by Cheng, MX, Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering, vol. 3 LNICST (December, 2009), pp. 54-60, Springer Berlin Heidelberg [978-3-642-02427-6], [doi]  [abs].
  413. Zhao, Y; Sturmer, R; Chakrabarty, K; Pamula, VK, Optimization of droplet routing for an n-plex bioassay on a digital microfluidic lab-on-chip, 2009 Ieee Biomedical Circuits and Systems Conference, Biocas 2009 (December, 2009), pp. 241-244, IEEE [doi]  [abs].
  414. Fang, H; Chakrabarty, K; Parekhji, R, Bit-operation-based seed augmentation for LFSR reseeding with high defect coveraged, Proceedings of the Asian Test Symposium (December, 2009), pp. 331-336, IEEE [doi]  [abs].
  415. Noia, B; Chakrabarty, K; Xie, Y, Test-wrapper optimization for embedded cores in TSV-based three-dimensional SOCs, Proceedings Ieee International Conference on Computer Design: Vlsi in Computers and Processors (December, 2009), pp. 70-77, IEEE [doi]  [abs].
  416. Xiang, D; Yin, B; Chakrabarty, K, Compact test generation for small-delay defects using testable-path information, Proceedings of the Asian Test Symposium (December, 2009), pp. 424-429, IEEE [doi]  [abs].
  417. Bahukudumbi, S; Chakrabarty, K, Power management using test-pattern ordering for wafer-level test during burn-in, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 17 no. 12 (December, 2009), pp. 1730-1741, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  418. Goel, SK; Marinissen, EJ; Sehgal, A; Chakrabarty, K, Testing of SoCs with hierarchical cores: Common fallacies, test access optimization, and test scheduling, Ieee Transactions on Computers, vol. 58 no. 3 (December, 2009), pp. 409-423, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  419. Fang, H; Chakrabarty, K; Fujiwara, H, RTL DFT techniques to enhance defect coverage for functional test sequences, Proceedings Ieee International High Level Design Validation and Test Workshop, Hldvt (November, 2009), pp. 160-165, IEEE [doi]  [abs].
  420. Mao, V; Thusu, V; Dwyer, C; Chakrabarty, K, Connecting fabrication defects to fault models and simulation program with integrated circuit emphasis simulations for DNA self-assembled nanoelectronics, Iet Computers & Digital Techniques, vol. 3 no. 6 (November, 2009), pp. 553-569, Institution of Engineering and Technology (IET) [doi]  [abs].
  421. Oliver, LD; Chakrabarty, K; Massoud, HZ, Dual-threshold pass-transistor logic design, Proceedings of the Acm Great Lakes Symposium on Vlsi, Glsvlsi (November, 2009), pp. 291-296, ACM Press [doi]  [abs].
  422. Lee, HHS; Chakrabarty, K, Test challenges for 3D integrated circuits, Ieee Design & Test of Computers, vol. 26 no. 5 (November, 2009), pp. 26-35, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  423. Xu, T; Chakrabarty, K, Design-for-testability for digital microfluidic biochips, Proceedings of the Ieee Vlsi Test Symposium (November, 2009), pp. 309-314, IEEE [doi]  [abs].
  424. Fang, H; Chakrabarty, K; Jas, A; Patil, S; Tirumurti, C, RT-level deviation-based grading of functional test sequences, Proceedings of the Ieee Vlsi Test Symposium (November, 2009), pp. 264-269, IEEE [doi]  [abs].
  425. Wu, W; Mehta, H; Chakrabarty, K; Booth, JL; Duggan, ES; Patel, KB; Ballard, JD; Coggeshall, KM; Metcalf, JP, Resistance of human alveolar macrophages to Bacillus anthracis lethal toxin., The Journal of Immunology, vol. 183 no. 9 (November, 2009), pp. 5799-5806 [19812208], [doi]  [abs].
  426. Bhattacharyya, S; Khanna, S; Chakrabarty, K; Mahadevan, A; Christopher, R; Shankar, SK, Anti-brain autoantibodies and altered excitatory neurotransmitters in obsessive-compulsive disorder., Neuropsychopharmacology, vol. 34 no. 12 (November, 2009), pp. 2489-2496 [19675532], [doi]  [abs].
  427. Yang, Z; Chakrabarty, K, Cross-contamination avoidance for droplet routing in digital microfluidic biochips, Proceedings Design, Automation and Test in Europe, Date (October, 2009), pp. 1290-1295  [abs].
  428. Chakrabarty, K, Testing bio-chips, 2009 Ieee International Conference on Computer Design (October, 2009), pp. 327-327, IEEE [mostRecentIssue.jsp], [doi] .
  429. Xu, T; Chakrabarty, K, Towards design-for-testability for digital microfluidics, Dtip of Mems and Moems Symposium on Design, Test, Integration and Packaging of Mems/Moems (September, 2009), pp. 329-333  [abs].
  430. Chakrabarty, K; Saha, P; Ghoshal, AK, Separation of lignosulfonate from its aqueous solution using supported liquid membrane, Journal of Membrane Science, vol. 340 no. 1-2 (September, 2009), pp. 84-91, Elsevier BV [Gateway.cgi], [doi] .
  431. Dozmorov, M; Wu, W; Chakrabarty, K; Booth, JL; Hurst, RE; Coggeshall, KM; Metcalf, JP, Gene expression profiling of human alveolar macrophages infected by B. anthracis spores demonstrates TNF-alpha and NF-kappab are key components of the innate immune response to the pathogen., Bmc Infectious Diseases, vol. 9 (September, 2009), pp. 152 [19744333], [doi]  [abs].
  432. Tao Xu, ; Chakrabarty, K, Fault modeling and functional test methods for digital microfluidic biochips., Ieee Transactions on Biomedical Circuits and Systems, vol. 3 no. 4 (August, 2009), pp. 241-253 [doi]  [abs].
  433. Zhao, Y; Chakrabarty, K, On-line testing of lab-on-chip using reconfigurable digital-microfluidic compactors, International Journal of Parallel Programming, vol. 37 no. 4 (August, 2009), pp. 370-388, Springer Nature [doi]  [abs].
  434. Wang, ZL; Chakrabarty, K; Wang, SM, Integrated LFSR Reseeding, Test-Access Optimization, and Test Scheduling for Core-Based System-on-Chip, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 28 no. 8 (August, 2009), pp. 1251-1264 [doi]  [abs].
  435. Kaminska, B; Chakrabarty, K, Guest editorial - Selected papers from the IEEE international mixed-signals, sensors, and systems test workshop (IMS3TW), 2008, Ieee Transactions on Biomedical Circuits and Systems, vol. 3 no. 4 (July, 2009), pp. 193-194, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  436. Wu, X; Falkenstern, P; Chakrabarty, K; Xie, Y, Scan-chain design and optimization for three-dimensional integrated circuits, Acm Journal on Emerging Technologies in Computing Systems, vol. 5 no. 2 (July, 2009), pp. 1-26, Association for Computing Machinery (ACM) [doi]  [abs].
  437. Chakrabarty, K; Roy, S; Gupta, SN; Das, GK, Effect of the hybridization of the hetero-atom present at the tether on the selectivity of the (3,4)ene cyclization: A theoretical study, Journal of Molecular Structure: Theochem, vol. 901 no. 1-3 (May, 2009), pp. 44-48, Elsevier BV [Gateway.cgi], [doi] .
  438. Yu, TE; Yoneda, T; Chakrabarty, K; Fujiwara, H, Test infrastructure design for core-based system-on-chip under cycle-accurate thermal constraints, Proceedings of the Asia and South Pacific Design Automation Conference, Asp Dac (April, 2009), pp. 793-798, IEEE [doi]  [abs].
  439. Bahukudumbi, S; Ozev, S; Chakrabarty, K; Iyengar, V, Wafer-level defect screening for big-D/small-A mixed-signal SoCs, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 17 no. 4 (April, 2009), pp. 587-592, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  440. Chakrabarty, K; Krishna, KV; Saha, P; Ghoshal, AK, Extraction and recovery of lignosulfonate from its aqueous solution using bulk liquid membrane, Journal of Membrane Science, vol. 330 no. 1-2 (March, 2009), pp. 135-144, Elsevier BV [Gateway.cgi], [doi] .
  441. Wang, ZL; Fang, HX; Chakrabarty, K; Bienek, M, Deviation-Based LFSR Reseeding for Test-Data Compression, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 28 no. 2 (February, 2009), pp. 259-271, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  442. Zhao, Y; Sturmer, R; Chakrabarty, K; Pamula, VK, Optimization of Droplet Routing for an n-Plex Bioassay on a Digital Microfluidic Lab-on-Chip, 2009 Ieee Biomedical Circuits and Systems Conference (Biocas 2009) (January, 2009), pp. 276-+, IEEE [Gateway.cgi] .
  443. Yilmaz, M; Chakrabarty, K, Seed selection in LFSR-reseeding-based test compression for the detection of small-delay defects, Proceedings Design, Automation and Test in Europe, Date (January, 2009), pp. 1488-1493 [doi]  [abs].
  444. Kavousianos, X; Chakrabarty, K, Generation of compact test sets with high defect coverage, Proceedings Design, Automation and Test in Europe, Date (January, 2009), pp. 1130-1135 [doi]  [abs].
  445. Jiang, L; Xu, Q; Chakrabarty, K; Mak, TM, Layout-driven test-architecture design and optimization for 3D SoCs under pre-bond test-pin-count constraint, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad (January, 2009), pp. 191-196 [doi]  [abs].
  446. Bahukudumbi, S; Chakrabarty, K, Test-length and TAM optimization for wafer-level reduced pin-count testing of core-based SoCs, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 28 no. 1 (January, 2009), pp. 111-120, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  447. Wang, Z; Chakrabarty, K; Wang, S, Integrated LFSR reseeding, test-access optimization, and test scheduling for core-based system-on-chip, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 28 no. 1 (January, 2009), pp. 1251-1264, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  448. Wang, Z; Fang, H; Chakrabarty, K; Bienek, M, Deviation-based LFSR reseeding for test-data compression, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 28 no. 1 (January, 2009), pp. 259-271  [abs].
  449. Dozmorov, M; Wu, W; Chakrabarty, K; Booth, JL; Hurst, RE; Coggeshall, KM; Metcalf, JP, Gene Expression Profiling of Human Alveolar Macrophages Infected by B. anthracis Spores Demonstrates TNF-alpha and NF-kappa B Are Key Components of the Innate Immune Response to the Pathogen., American Journal of Respiratory and Critical Care Medicine, vol. 179 (2009) [Gateway.cgi] .
  450. Dozmorov, M; Wu, W; Chakrabarty, K; Booth, J; Hurst, RE; Coggeshall, K; Metcalf, JP, TNF-alpha AND NF-kappa B ARE CENTRAL COMPONENTS OF THE HUMAN ALVEOLAR MACROPHAGE RESPONSE TO B. ANTHRACIS SPORES, Journal of Investigative Medicine, vol. 57 no. 3 (2009), pp. 559-559 [Gateway.cgi] .
  451. Yu, TE; Yoneda, T; Chakrabarty, K; Fujiwara, H, Test infrastructure design for core-based system-on-chip under cycle-accurate thermal constraints., edited by Wakabayashi, K, Asp Dac (2009), pp. 793-798, IEEE [mostRecentIssue.jsp], [doi] .
  452. Paul, BC; Chakrabarty, K, Editorial: Advances in nanoelectronics circuits and systems, Iet Computers & Digital Techniques, vol. 3 no. 6 (2009), pp. 551-551, Institution of Engineering and Technology (IET) [doi] .
  453. Xu, Q; Zhang, YB; Chakrabarty, K, SOC Test-Architecture Optimization for the Testing of Embedded Cores and Signal-Integrity Faults on Core-External Interconnects, Acm Transactions on Design Automation of Electronic Systems, vol. 14 no. 1 (2009), pp. 1-27, Association for Computing Machinery (ACM) [doi]  [abs].
  454. Xu, T; Chakrabarty, K; Pamula, VK, Design and optimization of a digital microfluidic biochip for protein crystallization, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad (December, 2008), pp. 297-301, IEEE [doi]  [abs].
  455. Liu, C; Chakrabarty, K; Jone, WB, System/Network-On-Chip Test Architectures (December, 2008), pp. 171-224, Elsevier [doi]  [abs].
  456. Mitra, D; Ghoshal, S; Rahaman, H; Bhattacharya, BB; Majumder, DD; Chakrabarty, K, Accelerated functional testing of digital microfluidic biochips, Proceedings of the Asian Test Symposium (December, 2008), pp. 295-300, IEEE [doi]  [abs].
  457. Bahukudumbi, S; Chakrabarty, K, Power management for wafer-level test during burn-in, Proceedings of the Asian Test Symposium (December, 2008), pp. 231-236, IEEE [doi]  [abs].
  458. Larsson, A; Zhang, X; Larsson, E; Chakrabarty, K, Core-level compression technique selection and SOC test architecture design, Proceedings of the Asian Test Symposium (December, 2008), pp. 277-282, IEEE [doi]  [abs].
  459. Wu, X; Chen, Y; Chakrabarty, K; Xie, Y, Test-access mechanism optimization for core-based three-dimensional SOCs, 26th Ieee International Conference on Computer Design 2008, Iccd (December, 2008), pp. 212-218, IEEE [doi]  [abs].
  460. Chakrabarty, K, Towards fault-tolerant digital microfluidic lab-on-chip: Defects, fault modeling, testing, and reconfiguration, 2008 Ieee Biocas Biomedical Circuits and Systems Conference, Biocas 2008 (December, 2008), pp. 329-332, IEEE [doi]  [abs].
  461. Xu, T; Pamula, VK; Chakrabarty, K, Automated, accurate, and inexpensive solution-preparation on a digital microfluidic biochip, 2008 Ieee Biocas Biomedical Circuits and Systems Conference, Biocas 2008 (December, 2008), pp. 301-304, IEEE [doi]  [abs].
  462. Yilmaz, M; Chakrabarty, K; Tehranipoor, M, Interconnect-aware and layout-oriented test-pattern selection for small-delay defects, Proceedings International Test Conference (December, 2008), IEEE [doi]  [abs].
  463. Zhao, Y; Xu, T; Chakrabarty, K, Built-in Self-Test and Fault Diagnosis for Lab-on-Chip Using Digital Microfluidic Logic Gates, Proceedings International Test Conference (December, 2008), IEEE [doi]  [abs].
  464. Mao, V; Dwyer, C; Chakrabarty, K, Fabrication defects and fault models for DNA self-assembled nanoelectronics, Proceedings International Test Conference (December, 2008), IEEE [doi]  [abs].
  465. Wu, X; Chen, Y; Chakrabarty, K; Xie, Y, Test-access solutions for three-dimensional SOCs, Proceedings International Test Conference (December, 2008), IEEE [doi]  [abs].
  466. Larsson, A; Xin, Z; Larsson, E; Chakrabarty, K, SOC test optimization with compression-technique selection, Proceedings International Test Conference (December, 2008), IEEE [doi]  [abs].
  467. Mitra, D; Ghoshal, S; Rahaman, H; Bhattacharya, BB; Majumder, DD; Chakrabarty, K, Accelerated functional testing of digital microfluidic biochips, Proceedings Ieee International Workshop on Design and Test of Nano Devices, Circuits and Systems, Ndcs 2008 (November, 2008), pp. 81-84, IEEE [doi]  [abs].
  468. Xu, T; Chakrabarty, K, A droplet-manipulation method for achieving high-throughput in cross-referencing-based digital microfluidic biochips, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 27 no. 11 (November, 2008), pp. 1905-1917, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  469. Wang, ZL; Chakrabarty, K, Test Data Compression Using Selective Encoding of Scan Slices, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 16 no. 11 (November, 2008), pp. 1429-1440, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  470. Zhao, Y; Chakrabarty, K, On-line testing of lab-on-chip using digital microfluidic compactors, Proceedings 14th Ieee International on Line Testing Symposium, Iolts 2008 (September, 2008), pp. 213-218, IEEE [doi]  [abs].
  471. Zhao, Y; Chakrabarty, K, Fault diagnosis for lab-on-chip using digital microfluidic logic gates, 2008 Ieee 14th International Mixed Signals, Sensors, and Systems Test Workshop, Ims3tw (September, 2008), IEEE [doi]  [abs].
  472. Xu, T; Chakrabarty, K, Broadcast electrode-addressing for pin-constrained multi-functional digital microfluidic biochips, Proceedings Design Automation Conference (September, 2008), pp. 173-178 [doi]  [abs].
  473. Yilmaz, M; Chakrabarty, K; Tehranipoor, M, Test-pattern grading and pattern selection for small-delay defects, Proceedings of the Ieee Vlsi Test Symposium (September, 2008), pp. 233-239, IEEE [doi]  [abs].
  474. Bahukudumbi, S; Chakrabarty, K, Test-pattern ordering for wafer-level test-during-burn-in, Proceedings of the Ieee Vlsi Test Symposium (September, 2008), pp. 193-198, IEEE [doi]  [abs].
  475. Bahukudumbi, S; Chakrabarty, K; Kacprowicz, R, Test scheduling for wafer-level test-during-burn-in of core-based SoCs, Proceedings Design, Automation and Test in Europe, Date (August, 2008), pp. 1103-1106, IEEE [doi]  [abs].
  476. Larsson, A; Larsson, E; Chakrabarty, K; Eles, P; Peng, Z, Test-architecture optimization and test scheduling for SOCs with core-level expansion of compressed test patterns, Proceedings Design, Automation and Test in Europe, Date (August, 2008), pp. 188-193, IEEE [doi]  [abs].
  477. Paik, PY; Pamula, VK; Chakrabarty, K, A digital-microfluidic approach to chip cooling, Ieee Design & Test of Computers, vol. 25 no. 4 (August, 2008), pp. 372-391, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  478. Lebeck, AR; Chakrabarty, K, Introduction to DAC 2007 special section, Acm Journal on Emerging Technologies in Computing Systems, vol. 4 no. 3 (August, 2008), pp. 1-2, Association for Computing Machinery (ACM) [doi] .
  479. Xu, T; Chakrabarty, K, Integrated droplet routing and defect tolerance in the synthesis of digital microfluidic biochips, Acm Journal on Emerging Technologies in Computing Systems, vol. 4 no. 3 (August, 2008), pp. 1-24, Association for Computing Machinery (ACM) [doi]  [abs].
  480. Badereddine, N; Wang, Z; Girard, P; Chakrabarty, K; Virazel, A; Pravossoudovitch, S; Landrault, C, A selective scan slice encoding technique for test data volume and test power reduction, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 24 no. 4 (August, 2008), pp. 353-364, Springer Nature [doi]  [abs].
  481. Chakrabarty, K; Heumann, R, Prospective of Ras signaling in stem cells., Biological Chemistry, vol. 389 no. 7 (July, 2008), pp. 791-798 [18627319], [doi]  [abs].
  482. Sehgal, A; Bahukudumbi, S; Chakrabarty, K, Power-aware SoC test planning for effective utilization of port-scalable testers, Acm Transactions on Design Automation of Electronic Systems, vol. 13 no. 3 (July, 2008), pp. 1-19, Association for Computing Machinery (ACM) [doi]  [abs].
  483. Chakrabarty, K; Roy, S; Das, GK, Effect of the heteroatomic substituent on the pi-facial diastereoselectivity in Lewis acid catalyzed carbonyl ene reaction: A theoretical study, Journal of Molecular Structure: Theochem, vol. 858 no. 1-3 (June, 2008), pp. 107-112, Elsevier BV [Gateway.cgi], [doi] .
  484. Xiang, D; Zhao, Y; Chakrabarty, K; Fujiwara, H, A reconfigurable scan architecture with weighted scan-enable signals for deterministic BIST, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 27 no. 6 (June, 2008), pp. 999-1012, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  485. Xu, T; Chakrabarty, K, Automated design of digital microfluidic lab-on-chip under pin-count constraints, Proceedings of the International Symposium on Physical Design (May, 2008), pp. 190-198, ACM Press [doi]  [abs].
  486. Samii, S; Selkälä, M; Larsson, E; Chakrabarty, K; Peng, Z, Cycle-accurate test power modeling and its application to SoC test architecture design and scheduling, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 27 no. 5 (May, 2008), pp. 973-977, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  487. Bahar, RI; Chakrabarty, K, Introduction to joint ACM JETC/TODAES special issue on new, emerging, and specialized technologies, Acm Transactions on Design Automation of Electronic Systems, vol. 13 no. 2 (April, 2008) [doi] .
  488. Paik, PY; Pamula, VK; Chakrabarty, K, Adaptive cooling of integrated circuits using digital microfluidics, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 16 no. 4 (April, 2008), pp. 432-443, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  489. Bahar, RI; Chakrabarty, K, Introduction to joint ACM JETC/TODAES special issue on new, emerging, and specialized technologies, Acm Journal on Emerging Technologies in Computing Systems, vol. 4 no. 2 (April, 2008), pp. 1-2, Association for Computing Machinery (ACM) [doi] .
  490. Tao Xu, ; Chakrabarty, K; Fei Su,, Defect-aware high-level synthesis and module placement for microfluidic biochips., Ieee Transactions on Biomedical Circuits and Systems, vol. 2 no. 1 (March, 2008), pp. 50-62 [doi]  [abs].
  491. Wang, ZL; Chakrabarty, K, Test-quality/cost optimization using output-deviation-based reordering of test patterns, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 27 no. 2 (February, 2008), pp. 352-365, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  492. Larsson, A; Larsson, E; Chakrabarty, K; Eles, P; Peng, Z, Test-architecture optimization and test scheduling for SOCs with core-level expansion of compressed test patterns, Proceedings Design, Automation and Test in Europe, Date (January, 2008), pp. 186-+, IEEE [Gateway.cgi] .
  493. Bahukudumbi, S; Chakrabarty, K; Kacprowicz, R, Test scheduling for wafer-level test-during-burn-in of core-based SoCs, Proceedings Design, Automation and Test in Europe, Date (January, 2008), pp. 1462-+, IEEE [Gateway.cgi] .
  494. Langer, M; Malykhin, A; Maeda, K; Chakrabarty, K; Williamson, KS; Feasley, CL; West, CM; Metcalf, JP; Coggeshall, KM, Bacillus anthracis peptidoglycan stimulates an inflammatory response in monocytes through the p38 mitogen-activated protein kinase pathway., Plos One, vol. 3 no. 11 (January, 2008), pp. e3706 [19002259], [doi]  [abs].
  495. Su, F; Chakrabarty, K, High-level synthesis of digital microfluidic biochips, Acm Journal on Emerging Technologies in Computing Systems, vol. 3 no. 4 (January, 2008), pp. 1-32, Association for Computing Machinery (ACM) [doi]  [abs].
  496. Yu, TE; Yoneda, T; Chakrabarty, K; Fujiwara, H, Thermal-aware test access mechanism and wrapper design optimization for system-on-chips, Ieice Transactions on Information and Systems, vol. E91-D no. 10 (January, 2008), pp. 2440-2448, Institute of Electronics, Information and Communications Engineers (IEICE) [doi]  [abs].
  497. Chakrabarty, K, Functional independence of elements and perceptual confidence factors, 2008 Annual Meeting of the North American Fuzzy Information Processing Society, Vols 1 and 2 (2008), pp. 373-377 [Gateway.cgi] .
  498. Chakrabarty, K; Booth, J; Duggan, ES; Wu, W; Coggeshall, K; Metcalf, JP, Differential sensitivity of human and mouse macrophages to bacillus anthracis lethal toxin, Journal of Investigative Medicine, vol. 56 no. 3 (2008), pp. 625-625 [Gateway.cgi] .
  499. Xu, T; Chakrabarty, K, Broadcast electrode-addressing for pin-constrained multi-functional digital microfluidic biochips., edited by Fix, L, Dac (2008), pp. 173-178, ACM [citation.cfm], [doi] .
  500. Chakrabarty, K, Digital microfluidics: Connecting biochemistry to electronic system design, Proceedings of the 5th International Conference on Nanochannels, Microchannels and Minichannels, Icnmm2007 (December, 2007), pp. 1007-1014, ASME [doi]  [abs].
  501. Zhou, T; Choudhury, RR; Ning, P; Chakrabarty, K, Privacy-preserving detection of sybil attacks in vehicular ad hoc networks, Proceedings of the 4th Annual International Conference on Mobile and Ubiquitous Systems: Computing, Networking and Services, Mobiquitous 2007 (December, 2007), IEEE [doi]  [abs].
  502. Chakrabarty, K, Design and test of microfluidic biochips, Proceedings of the 2007 Ieee Workshop on Design and Diagnostics of Electronic Circuits and Systems, Ddecs (December, 2007), pp. 17, IEEE [doi]  [abs].
  503. Xiang, D; Chakrabarty, K; Hu, D; Fujiwara, H, Scan testing for complete coverage of path delay faults with reduced test data volume, test application time and hardware cost, Proceedings of the Asian Test Symposium (December, 2007), pp. 329-334, IEEE [doi]  [abs].
  504. Yu, TE; Yoneda, T; Chakrabarty, K; Fujiwara, H, Thermal-safe test access mechanism and wrapper co-optimization for system-on-chip, Proceedings of the Asian Test Symposium (December, 2007), pp. 187-192, IEEE [doi]  [abs].
  505. Bahukudumbi, S; Chakrabarty, K, Test-length selection and TAM optimization for wafer-level, reduced pin-count testing of core-based digital SoCs, Proceedings of the Ieee International Conference on Vlsi Design (December, 2007), pp. 459-464, IEEE [doi]  [abs].
  506. Xu, T; Chakrabarty, K; Su, F, Defect-aware synthesis of droplet-based microfluidic biochips, Proceedings of the Ieee International Conference on Vlsi Design (December, 2007), pp. 647-652, IEEE [doi]  [abs].
  507. Kumar, A; Chakrabarty, K; Mohan, CR, An ECO technique for removing crosstalk violations in clock networks, Proceedings of the Ieee International Conference on Vlsi Design (December, 2007), pp. 283-288, IEEE [doi]  [abs].
  508. Chakrabarty, K; Sapatnekar, S, Editorial to special issue DAC 2006, Acm Journal on Emerging Technologies in Computing Systems, vol. 3 no. 3 (November, 2007), pp. 11-es, Association for Computing Machinery (ACM) [doi] .
  509. Xu, T; Hwang, WL; Su, F; Chakrabarty, K, Automated design of pin-constrained digital microfluidic biochips under droplet-interference constraints, Acm Journal on Emerging Technologies in Computing Systems, vol. 3 no. 3 (November, 2007), pp. 14-es, Association for Computing Machinery (ACM) [doi]  [abs].
  510. S. Bahukudumbi and K. Chakrabarty, Wafer-level modular testing of core-based SoCs, Ieee Transactions On Very Large Scale Integration (vlsi) Systems, vol. 15 no. 10 (October, 2007), pp. 1144 -- 1154  [abs].
  511. Xiang, D; Chakrabarty, K; Hu, D; Fujiwara, H, Scan Testing for Complete Coverage of Path Delay Faults with Reduced Test Data Volume, Test Application Time, and Hardware Cost, 16th Asian Test Symposium (Ats 2007) (October, 2007), pp. 329-+, IEEE [Gateway.cgi], [doi] .
  512. Yu, TE; Yoneda, T; Chakrabarty, K; Fujiwara, H, Thermal-Safe Test Access Mechanism and Wrapper Co-optimization for System-on-Chip, 16th Asian Test Symposium (Ats 2007) (October, 2007), pp. 187-+, IEEE [Gateway.cgi], [doi] .
  513. Roy, S; Chakrabarty, K; Das, GK, Comparative study on the transition structures of (3,4) and (3,5) ene cyclizations: A theoretical approach, Journal of Molecular Structure: Theochem, vol. 820 no. 1-3 (October, 2007), pp. 112-117, Elsevier BV [Gateway.cgi], [doi] .
  514. Bahukudumbi, S; Chakrabarty, K, Wafer-level modular testing of core-based SoCs, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 15 no. 10 (October, 2007), pp. 1144-1153, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  515. Xu, T; Chakrabarty, K, Parallel scan-like testing and fault diagnosis techniques for digital microfluidic biochips, Proceedings 12th Ieee European Test Symposium, Ets 2007 (September, 2007), pp. 63-68, IEEE [doi]  [abs].
  516. Wang, Z; Chakrabarty, K; Bienek, M, A seed-selection method to increase defect coverage for LFSR-reseeding-based test compression, Proceedings 12th Ieee European Test Symposium, Ets 2007 (September, 2007), pp. 125-130, IEEE [doi]  [abs].
  517. Zhanglei, W; Chakrabarty, K; Seongmoon, W, SoC testing using LFSR reseeding, and scan-slice-based TAM optimization and test scheduling, Proceedings Design, Automation and Test in Europe, Date (September, 2007), pp. 201-206, IEEE [doi]  [abs].
  518. O'Connor, I; Courtois, B; Chakrabarty, K; Delorme, N; Hampton, M; Hartung, J, Heterogeneous systems on chip and systems in package, Proceedings Design, Automation and Test in Europe, Date (September, 2007), pp. 737-742, IEEE [doi]  [abs].
  519. Xu, T; Chakrabarty, K, A cross-referencing-based droplet manipulation method for high-throughput and pin-constrained digital microfluidic arrays, Proceedings Design, Automation and Test in Europe, Date (September, 2007), pp. 552-557, IEEE [doi]  [abs].
  520. Tao, X; Chakrabarty, K, Integrated droplet routing in the synthesis of microfluidic biochips, Proceedings Design Automation Conference (August, 2007), pp. 948-953, IEEE [doi]  [abs].
  521. Xu, Q; Zhang, Y; Chakrabarty, K, SOC test architecture optimization for signal integrity faults on core-external interconnects, Proceedings Design Automation Conference (August, 2007), pp. 676-681, IEEE [doi]  [abs].
  522. Y. Zou and K. Chakrabarty, Distributed mobility management for target tracking in mobile sensor networks, Ieee Transactions On Mobile Computing, vol. 6 no. 8 (August, 2007), pp. 872 -- 887  [abs].
  523. Chakrabarty, K; Wu, W; Booth, JL; Duggan, ES; Nagle, NN; Coggeshall, KM; Metcalf, JP, Human lung innate immune response to Bacillus anthracis spore infection., Infection and Immunity, vol. 75 no. 8 (August, 2007), pp. 3729-3738 [17517878], [doi]  [abs].
  524. Xu, Q; Nicolici, N; Chakrabarty, K, Test wrapper design and optimization under power constraints for embedded cores with multiple clock domains, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 26 no. 8 (August, 2007), pp. 1539-1547, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  525. Zou, Y; Chakrabarty, K, Distributed mobility management for target tracking in mobile sensor networks, Ieee Transactions on Mobile Computing, vol. 6 no. 8 (August, 2007), pp. 872-887, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  526. Zou, Y; Chakrabarty, K, Redundancy analysis and a distributed self-organization protocol for fault-tolerant wireless sensor networks, International Journal of Distributed Sensor Networks, vol. 3 no. 3 (July, 2007), pp. 243-272, SAGE Publications [doi]  [abs].
  527. Dolezal, K; Popa, I; Hauserová, E; Spíchal, L; Chakrabarty, K; Novák, O; Krystof, V; Voller, J; Holub, J; Strnad, M, Preparation, biological activity and endogenous occurrence of N6-benzyladenosines., Bioorganic & Medicinal Chemistry, vol. 15 no. 11 (June, 2007), pp. 3737-3747 [17418578], [doi]  [abs].
  528. Tao Xu, ; Chakrabarty, K, Parallel scan-like test and multiple-defect diagnosis for digital microfluidic biochips., Ieee Transactions on Biomedical Circuits and Systems, vol. 1 no. 2 (June, 2007), pp. 148-158 [doi]  [abs].
  529. Wang, ZL; Chakrabarty, K, Built-in self-test and defect tolerance in molecular electron ics-based nanofabrics, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 23 no. 2-3 (June, 2007), pp. 145-161, Springer Nature [doi]  [abs].
  530. Su, F; Hwang, W; Mukherjee, A; Chakrabarty, K, Testing and diagnosis of realistic defects in digital microfluidic biochips, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 23 no. 2-3 (June, 2007), pp. 219-233, Springer Nature [doi]  [abs].
  531. Zhang, Q; Collins, V; Chakrabarty, K; Rose, JC; Wu, WX, Regulation of the prostaglandin enzymatic system by estradiol and progesterone in nonpregnant sheep cervix., Reproduction, vol. 133 no. 5 (May, 2007), pp. 1027-1034 [17616731], [doi]  [abs].
  532. Chakrabarty, K; Serchov, T; Mann, SA; Dietzel, ID; Heumann, R, Enhancement of dopaminergic properties and protection mediated by neuronal activation of Ras in mouse ventral mesencephalic neurones., The European Journal of Neuroscience, vol. 25 no. 7 (April, 2007), pp. 1971-1981 [17439485], [doi]  [abs].
  533. Chakrabarty, K; Booth, J; Duggan, ES; Coggeshall, K; Metcalf, JP, ERK, P38, AND JNK SIGNALING PATHWAYS ARE IMPORTANT IN CHEMOKINE AND CYTOKINE INDUCTION BY BACILLUS ANTHRACIS SPORES IN A HUMAN LUNG SLICE MODEL., Journal of Investigative Medicine, vol. 55 no. 2 (March, 2007), pp. S353-S353, BMJ [Gateway.cgi], [doi] .
  534. Chakrabarty, K; Roy, S; Das, GK; Mondal, N, Pi-diastereofacial selectivity on carbonyl enophile in carbonyl ene reaction: A new insight on the substituent effect in ene cyclization, Journal of Molecular Structure: Theochem, vol. 805 no. 1-3 (March, 2007), pp. 1-7, Elsevier BV [Gateway.cgi], [doi] .
  535. Chakrabarty, K; Despi, I, nk-bags, International Journal of Intelligent Systems, vol. 22 no. 2 (February, 2007), pp. 223-236, WILEY [doi] .
  536. Xu, T; Chakrabarty, K, Functional testing of digital microfluidic biochips, Proceedings International Test Conference (January, 2007), IEEE [doi]  [abs].
  537. Xu, Q; Zhang, Y; Chakrabarty, K, Test-wrapper designs for the detection of signal-integrity faults on core-external interconnects of SoCs, Proceedings International Test Conference (January, 2007), IEEE [doi]  [abs].
  538. Xu, T; Thwar, P; Srinivasan, V; Pamula, VK; Chakrabarty, K, Digital microfluidic biochip design for protein crystallization, 2007 Ieee/Nih Life Science Systems and Applications Workshop, Lisa (January, 2007), pp. 140-143, IEEE [doi]  [abs].
  539. Bahukudumbi, S; Ozev, S; Chakrabarty, K; Iyengar, V, A wafer-level defect screening technique to reduce test and packaging costs for "big-D/small-A" mixed-signal SoCs, Proceedings of the Asia and South Pacific Design Automation Conference, Asp Dac (January, 2007), pp. 823-828, IEEE [doi]  [abs].
  540. Chakrabarty, K; Thewes, R, Guest editors' introduction: Biochips and integrated biosensor platforms, Ieee Design & Test of Computers, vol. 24 no. 1 (January, 2007), pp. 8-9, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  541. Li, L; Wang, ZL; Chakrabarty, K, Scan-BIST based on cluster analysis and the encoding of repeating sequences, Acm Transactions on Design Automation of Electronic Systems, vol. 12 no. 1 (January, 2007), pp. 1-21, Association for Computing Machinery (ACM) [doi]  [abs].
  542. Sehgal, A; Chakrabarty, K, Optimization of Dual-Speed TAM Architectures for Efficient Modular Testing of SOCs, Ieee Transactions on Computers, vol. 56 no. 1 (January, 2007), pp. 120-133, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  543. Chakrabarty, KK; Nath, AK; Sengupta, S, Nor'wester over west Bengal and comfortability, Mausam, vol. 58 no. 2 (2007), pp. 177-188 [Gateway.cgi] .
  544. Su, F; Chakrabarty, K; Fair, RB, Microfluidics-based biochips: Technology issues, implementation platforms, and design automation challenges (December, 2006), pp. 1-29, Springer Netherlands [doi]  [abs].
  545. Chakrabarty, K, Automated design of microfluidics-based biochips: Connecting biochemistry to electronics CAD, Ieee International Conference on Computer Design, Iccd 2006 (December, 2006), pp. 93-100 [doi]  [abs].
  546. Xiang, D; Zhao, Y; Chakrabarty, K; Sun, J; Fujiwara, H, Compressing test data for deterministic BIST using a reconfigurable scan architecture, Proceedings of the Asian Test Symposium, vol. 2006 (December, 2006), pp. 299-304, IEEE [doi]  [abs].
  547. Badereddine, N; Wang, Z; Girard, P; Chakrabarty, K; Virazel, A; Pravossoudovitch, S; Landrault, C, Power-aware test data compression for embedded IP cores, Proceedings of the Asian Test Symposium, vol. 2006 (December, 2006), pp. 5-10, IEEE [doi]  [abs].
  548. Zhanglei, W; Chakrabarty, K; Goessel, M, Test set enrichment using a probabilistic fault model and the theory of output deviations, Proceedings Design, Automation and Test in Europe, Date, vol. 1 (December, 2006), IEEE [doi]  [abs].
  549. Wang, Z; Chakrabarty, K, An efficient test pattern selection method for improving defect coverage with reduced test data volume and test application time, Proceedings of the Asian Test Symposium, vol. 2006 (December, 2006), pp. 333-338, IEEE [doi]  [abs].
  550. Su, F; Hwang, W; Chakrabarty, K, Droplet routing in the synthesis of digital microfluidic biochips, Proceedings Design, Automation and Test in Europe, Date, vol. 1 (December, 2006), IEEE [doi]  [abs].
  551. Hwang, WL; Su, F; Chakrabarty, K, Automated design of pin-constrained digital microfluidic arrays for lab-on-a-chip applications*, Proceedings Design Automation Conference (December, 2006), pp. 925-930 [doi]  [abs].
  552. Zhou, T; Chakrabarty, K, Authentication of sensor network flooding based on neighborhood cooperation, Ieee Wireless Communications and Networking Conference, Wcnc, vol. 2 (December, 2006), pp. 665-670, IEEE [doi]  [abs].
  553. Xu, T; Chakrabarty, K, Droplet-trace-based array partitioning and a pin assignment algorithm for the automated design of digital microfluidic biochips, Codes+Isss 2006: Proceedings of the 4th International Conference on Hardware Software Codesign and System Synthesis (December, 2006), pp. 112-117, ACM Press [doi]  [abs].
  554. Su, F; Chakrabarty, K, Defect tolerance based on graceful degradation and dynamic reconfiguration for digital microfluidics-based biochips, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 25 no. 12 (December, 2006), pp. 2944-2953, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  555. Rosinger, P; Al-Hashimi, BM; Chakrabarty, K, Thermal-safe test scheduling for core-based system-on-chip integrated circuits, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 25 no. 11 (November, 2006), pp. 2502-2511, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  556. Su, F; Chakrabarty, K, Module placement for fault-tolerant microfluidics-based biochips, Acm Transactions on Design Automation of Electronic Systems, vol. 11 no. 3 (September, 2006), pp. 682-710, Association for Computing Machinery (ACM) [doi]  [abs].
  557. Su, F; Chakrabarty, K, Yield enhancement of reconfigurable microfluidics-based biochips using interstitial redundancy, Acm Journal on Emerging Technologies in Computing Systems, vol. 2 no. 2 (August, 2006), pp. 104-128, Association for Computing Machinery (ACM) [doi]  [abs].
  558. Würtenberger, A; Rosinger, P; Al-Hashimi, BM; Chakrabarty, K, Cost model driven test resource partitioning for SoCs, Electronics Letters, vol. 42 no. 16 (August, 2006), pp. 915-917, Institution of Engineering and Technology (IET) [doi]  [abs].
  559. Zhang, Q; Collins, V; Chakrabarty, K; Wolf, RF; Unno, N; Howe, D; Rose, JC; Wu, WX, Regulation of membrane-associated prostaglandin E2 synthase 1 in pregnant sheep intrauterine tissues by glucocorticoid and estradiol., Endocrinology, vol. 147 no. 8 (August, 2006), pp. 3719-3726 [16690805], [doi]  [abs].
  560. Chakrabarty, K; Wu, W; Booth, JL; Duggan, ES; Coggeshall, KM; Metcalf, JP, Bacillus anthracis spores stimulate cytokine and chemokine innate immune responses in human alveolar macrophages through multiple mitogen-activated protein kinase pathways., Infection and Immunity, vol. 74 no. 8 (August, 2006), pp. 4430-4438 [16861629], [doi]  [abs].
  561. Su, F; Ozev, S; Chakrabarty, K, Concurrent testing of digital microfluidics-based biochips, Acm Transactions on Design Automation of Electronic Systems, vol. 11 no. 2 (July, 2006), pp. 442-464, Association for Computing Machinery (ACM) [doi]  [abs].
  562. Su, F; Ozev, S; Chakrabarty, K, Test planning and test resource optimization For droplet-based microfluidic systems, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 22 no. 2 (April, 2006), pp. 199-210, Springer Nature [doi]  [abs].
  563. Sehgal, A; Ozev, S; Chakrabarty, K, Test infrastructure design for mixed-signal SOCs with wrapped analog cores, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 14 no. 3 (March, 2006), pp. 292-304, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  564. Chakrabarty, K; Roy, S; Das, GK, Effect of hetero atom on the conformational stability of the forming ring in the transition structures of Type-II ene cyclization: a theoretical study, Journal of Molecular Structure: Theochem, vol. 760 no. 1-3 (February, 2006), pp. 203-207, Elsevier BV [Gateway.cgi], [doi] .
  565. Su, F; Chakrabarty, K; Fair, RB, Microfluidics-based biochips: Technology issues, implementation platforms, and design-automation challenges, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 25 no. 2 (February, 2006), pp. 211-223, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  566. Chakrabarty, K; Zeng, J, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems: Guest editorial, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 25 no. 2 (February, 2006), pp. 209-210, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  567. Oliver, LD; Chakrabarty, K; Massoud, HZ, An evaluation of the impact of gate oxide tunneling on dual-V t-based leakage reduction techniques, Proceedings of the Acm Great Lakes Symposium on Vlsi, Glsvlsi, vol. 2006 (January, 2006), pp. 105-110 [doi]  [abs].
  568. Chakrabarty, K, Automated design of microfluidics-based biochips: Connecting biochemistry to electronics CAD, Proceedings 2006 International Conference on Design and Test of Integrated Systems in Nanoscale Technology, Ieee Dtis 2006 (January, 2006), pp. 2, IEEE [doi]  [abs].
  569. Bahukudumbi, S; Chakrabarty, K, Defect-oriented and time-constrained wafer-level test-length selection for core-based digital SoCs, Proceedings International Test Conference (January, 2006), IEEE [doi]  [abs].
  570. Sehgal, A; Goel, SK; Marinissen, EJ; Chakrabarty, K, Hierarchy-aware and area-efficient test infrastructure design for core-based system chips, Proceedings Design, Automation and Test in Europe, Date, vol. 1 (January, 2006), IEEE [doi]  [abs].
  571. Samii, S; Larsson, E; Chakrabarty, K; Peng, Z, Cycle-accurate test power modeling and its application to SoC test scheduling, Proceedings International Test Conference (January, 2006), IEEE [doi]  [abs].
  572. Zhang, Y; Chakrabarty, K, A unified approach for fault tolerance and dynamic power management in fixed-priority real-time embedded systems, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 25 no. 1 (January, 2006), pp. 111-125, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  573. Roy, S; Chakrabarty, K; Mondal, N; Das, GK, Effect of electrostatic potential of transition state on the stereo selectivity in ene cyclisation: A theoretical study, Indian Journal of Chemistry Section a Inorganic, Physical, Theoretical and Analytical Chemistry, vol. 45 no. 1 (2006), pp. 45-50 [Gateway.cgi] .
  574. Chakrabarty, K; Wu, W; Booth, JL; Duggan, ES; Coggeshall, KM; Metcalf, JP, Bacillus anthracis spores stimulate cytokine and chemokine innate immune responses in human alveolar macrophages through multiple MAPK pathways., Journal of Investigative Medicine, vol. 54 no. 2 (2006), pp. S349-S349 [Gateway.cgi] .
  575. Chakrabarty, K; Nanda, S, Yager's method and IF default rules, edited by Li, Y; Looi, M; Zhong, N, Frontiers in Artificial Intelligence and Applications, vol. 138 (2006), pp. 356-359, IOS Press [html] .
  576. Zhou, T; Chakrabarty, K, Authentication of sensor network flooding based on neighborhood cooperation., Wcnc (2006), pp. 665-670, IEEE [mostRecentIssue.jsp], [doi] .
  577. Chakrabarty, K, Reconfiguration-Based Defect Tolerance for Microfluidic Biochips., Dft (2006), IEEE Computer Society [mostRecentIssue.jsp] .
  578. Chakrabarty, K, Automated design of microfluidics-based biochips: Connecting biochemistry to electronics CAD, Proceedings 2006 International Conference on Design and Test of Integrated Systems in Nanoscale Technology, Ieee Dtis 2006 (2006), pp. 2- .
  579. Tafaj, E; Rosinger, P; Al-Hashimi, BM; Chakrabarty, K, Improving thermal-safe test scheduling for core-based systems-on-chip using shift frequency scaling, Proceedings Ieee International Symposium on Defect and Fault Tolerance in Vlsi Systems (December, 2005), pp. 544-551, IEEE Comput. Soc [doi]  [abs].
  580. Su, F; Chakrabarty, K, Defect tolerance for gracefully-degradable microfluidics-based biochips, Proceedings of the Ieee Vlsi Test Symposium (December, 2005), pp. 321-326, IEEE Comput. Soc [mostRecentIssue.jsp], [doi]  [abs].
  581. CHAKRABARTY, K; NANDA, S, A NOTE ON FIXED POINT THEOREM FOR FUZZY MAPPINGS, International Journal of Uncertainty, Fuzziness and Knowledge Based Systems, vol. 13 no. 06 (December, 2005), pp. 613-617, World Scientific Pub Co Pte Lt [doi]  [abs].
  582. Su, F; Chakrabarty, K, Design of fault-tolerant and dynamically-reconfigurable microfluidic biochips, Proceedings Design, Automation and Test in Europe, Date, vol. II (December, 2005), pp. 1202-1207, IEEE [doi]  [abs].
  583. Li, L; Chakrabarty, K; Kajihara, S; Swaminathan, S, Efficient space/time compression to reduce test data volume and testing time for IP cores, Proceedings of the Ieee International Conference on Vlsi Design (December, 2005), pp. 53-58, IEEE COMPUTER SOC [doi]  [abs].
  584. Chakrabarty, K, Design, testing, and applications of digital microfluidics-based biochips, Proceedings of the Ieee International Conference on Vlsi Design (December, 2005), pp. 221-226, IEEE COMPUTER SOC [doi]  [abs].
  585. Rosinger, P; Al-Hashimi, B; Chakrabarty, K, Rapid generation of thermal-safe test schedules, Proceedings Design, Automation and Test in Europe, Date, vol. II (December, 2005), pp. 840-845, IEEE [doi]  [abs].
  586. Paik, P; Pamula, VK; Chakrabarty, K, Adaptive hot-spot cooling of integrated circuits using digital microfluidics, American Society of Mechanical Engineers, Micro Electro Mechanical Systems Division, (Publications) Mems, vol. 7 MEMS (December, 2005), pp. 673-678, ASME [doi]  [abs].
  587. Su, F; Chakrabarty, K; Pamula, VK, Yield enhancement of digital microfluidics-based biochips using space redundancy and local reconfiguration, Proceedings Design, Automation and Test in Europe, Date, vol. II (December, 2005), pp. 1196-1201, IEEE [doi]  [abs].
  588. Sehgal, A; Liu, F; Ozev, S; Chakrabarty, K, Test planning for mixed-signal SOCs with wrapped analog cores, Proceedings Design, Automation and Test in Europe, Date, vol. I (December, 2005), pp. 50-55, IEEE [doi]  [abs].
  589. Li, L; Chakrabarty, K, Hybrid BIST based on repeating sequences and cluster analysis, Proceedings Design, Automation and Test in Europe, Date, vol. II (December, 2005), pp. 1142-1147, IEEE [doi]  [abs].
  590. Wang, Z; Chakrabarty, K, Built-in self-test of molecular electronics-based nanofabrics, Proceedings of the 10th Ieee European Test Symposium, Ets 2005, vol. 2005 (December, 2005), pp. 168-173, IEEE [doi]  [abs].
  591. Wang, Z; Chakrabarty, K, Test data compression for IP embedded cores using selective encoding of scan slices, Proceedings International Test Conference, vol. 2005 (December, 2005), pp. 581-590, IEEE [doi]  [abs].
  592. Sehgal, A; Ozev, S; Chakrabarty, K, A flexible design methodology for analog test wrappers in mixed-signal SOCs, Proceedings Ieee International Conference on Computer Design: Vlsi in Computers and Processors, vol. 2005 (December, 2005), pp. 137-142, IEEE Comput. Soc [doi]  [abs].
  593. Sehgal, A; Chakrabarty, K, Test planning for the effective utilization of port-scalable testers for heterogeneous core-based SOCs, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad, vol. 2005 (December, 2005), pp. 88-93, IEEE [doi]  [abs].
  594. Wang, Z; Chakrabarty, K, Using built-in self-test and adaptive recovery for defect tolerance in molecular electronics-based nanofabrics, Proceedings International Test Conference, vol. 2005 (December, 2005), pp. 477-486, IEEE [doi]  [abs].
  595. Su, F; Hwang, W; Mukherjee, A; Chakrabarty, K, Defect-oriented testing and diagnosis of digital microfluidics-based biochips, Proceedings International Test Conference, vol. 2005 (December, 2005), pp. 487-496, IEEE [doi]  [abs].
  596. Li, L; Chakrabarty, K; Kajihara, S; Swaminathan, S, Three-stage compression approach to reduce test data volume and testing time for IP cores in SOCs, Iee Proceedings Computers and Digital Techniques, vol. 152 no. 6 (November, 2005), pp. 704-712, Institution of Engineering and Technology (IET) [doi]  [abs].
  597. Sabbineni, H; Chakrabarty, K; Ji, X; Zha, H; Lee, D; Varaiya, P; Sengupta, R; Onur, E; Ersoy, C; Deliç, H, Sensor Deployment, Self-Organization, and Localization (October, 2005), pp. 11-90, JOHN WILEY & SONS INC [doi] .
  598. Chakrabarty, K; Zeng, J, Design Automation for Microfluidics-Based Biochips, Acm Journal on Emerging Technologies in Computing Systems, vol. 1 no. 3 (October, 2005), pp. 186-223, Association for Computing Machinery (ACM) [doi]  [abs].
  599. Chakrabarty, K; Bhattacharyya, S; Christopher, R; Khanna, S, Glutamatergic dysfunction in OCD., Neuropsychopharmacology, vol. 30 no. 9 (September, 2005), pp. 1735-1740 [15841109], [doi]  [abs].
  600. Chakrabarty, K; Fahim, M, Modulation of the contractile responses of guinea pig isolated tracheal rings after chronic intermittent hypobaric hypoxia with and without cold exposure., Journal of Applied Physiology (Bethesda, Md. : 1985), vol. 99 no. 3 (September, 2005), pp. 1006-1011 [16103517], [doi]  [abs].
  601. Sen, A; Mahalanabis, D; Mukhopadhyay, S; Chakrabarty, K; Singh, AK; Bisai, S; Chakrabarty, M; Halder, D; Islam, MA, Routine use of antimicrobials by pregnant Indian women does not improve birth outcome: a randomized controlled trial., Journal of Health, Population, and Nutrition, vol. 23 no. 3 (September, 2005), pp. 236-244 [16262020]  [abs].
  602. Wu, WX; Coksaygan, T; Chakrabarty, K; Collins, V; Rose, JC; Nathanielsz, PW, Sufficient progesterone-priming prior to estradiol stimulation is required for optimal induction of the cervical prostaglandin system in pregnant sheep at 0.7 gestations., Biology of Reproduction, vol. 73 no. 2 (August, 2005), pp. 343-350 [15829624], [doi]  [abs].
  603. Su, F; Ozev, S; Chakrabarty, K, Ensuring the operational health of droplet-based microelectrofluidic biosensor systems, Ieee Sensors Journal, vol. 5 no. 4 (August, 2005), pp. 763-772, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  604. Liu, C; Chakrabarty, K, Design and analysis of compact dictionaries for diagnosis in scan-BIST, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 13 no. 8 (August, 2005), pp. 979-984, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  605. Zou, Y; Chakrabarty, K, A distributed coverage- and connectivity-centric technique for selecting active nodes in wireless sensor networks, Ieee Transactions on Computers, vol. 54 no. 8 (August, 2005), pp. 978-991, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  606. Ranganathan, N; Agrawal, VD; Chakradhar, ST; Chakrabarty, K; Courtois, B; DeMara, R; Hu, XS; Ismail, YI; Jha, NK; John, LK; Ker, MD; Koren, I; Liu, BD; Marculescu, D; Marculescu, R; Narayanan, V; Nassif, SR; Nowick, SM; Sapatnekar, SS; Sherlekar, S; Sylvester, D; Vemuri, R; Pham, M, Appointments for 2005-2006 term, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 13 no. 7 (July, 2005), pp. 773-782, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  607. Tehranipoor, M; Nourani, M; Chakrabarty, K, Nine-coded compression technique for testing embedded cores in SoCs, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 13 no. 6 (June, 2005), pp. 719-730, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  608. Chakrabarty, K, Low-cost modular testing and test resource partitioning for SOCs, Iee Proceedings Computers and Digital Techniques, vol. 152 no. 3 (May, 2005), pp. 427-441, Institution of Engineering and Technology (IET) [doi]  [abs].
  609. Wu, WX; Wolf, R; Chakrabarty, K; Collins, V; Unno, N; Nathanielsz, PW; Rose, JC, Induction of uterine prostaglandin H synthase 2 by estradiol following fetal adrenalectomy., Endocrine, vol. 26 no. 2 (March, 2005), pp. 153-159 [15888927], [doi]  [abs].
  610. Chakrabarty, K; Iyengar, V; Krasniewski, MD, Test Planning for modular testing of hierarchical SOCs, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 24 no. 3 (March, 2005), pp. 435-447, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  611. AboElFotoh, HMF; Iyengar, SS; Chakrabarty, K, Computing reliability and message delay for cooperative wireless distributed sensor networks subject to random failures, Ieee Transactions on Reliability, vol. 54 no. 1 (March, 2005), pp. 145-155, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  612. Swaminathan, V; Chakrabarty, K, Pruning-Based, Energy-Optimal, Deterministic I/O Device Scheduling for Hard Real-Time Systems, Acm Transactions on Embedded Computing Systems, vol. 4 no. 1 (February, 2005), pp. 141-167, Association for Computing Machinery (ACM) [doi]  [abs].
  613. Paik, PY; Pamula, VK; Pollack, MG; Chakrabarty, K, Coplanar digital microfluidics using standard printed circuit board processes, Micro Total Analysis Systems Proceedings of Microtas 2005 Conference: 9th International Conference on Miniaturized Systems for Chemistry and Life Sciences, vol. 1 (January, 2005), pp. 566-568  [abs].
  614. Sabbineni, H; Chakrabarty, K, Location-aided flooding: An energy-efficient data dissemination protocol for wireless sensor networks, Ieee Transactions on Computers, vol. 54 no. 1 (January, 2005), pp. 36-46, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  615. Sehgal, A; Dubey, A; Marinissen, EJ; Wouters, C; Vranken, H; Chakrabarty, K, Redundancy modelling and array yield analysis for repairable embedded memories, Iee Proceedings Computers and Digital Techniques, vol. 152 no. 1 (January, 2005), pp. 97-106, Institution of Engineering and Technology (IET) [doi]  [abs].
  616. Chakrabarty, K; Su, F, System-level design automation tools for digital microfluidic biochips, Codes+Isss 2005 International Conference on Hardware/Software Codesign and System Synthesis (January, 2005), pp. 201-206 [doi]  [abs].
  617. Zou, Y; Chakrabarty, K, Fault-tolerant self-organization in sensor networks, Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), vol. 3560 (January, 2005), pp. 191-205 [doi]  [abs].
  618. Xu, Q; Nicolici, N; Chakrabarty, K, Multi-frequency wrapper design and optimization for embedded cores under average power constraints, Proceedings Design Automation Conference (January, 2005), pp. 123-128 [doi]  [abs].
  619. Su, F; Chakrabarty, K, Unified high-level synthesis and module placement for defect-tolerant microfluidic biochips, Proceedings Design Automation Conference (January, 2005), pp. 825-830, IEEE [doi]  [abs].
  620. Gupta, IS; Chowdhury, DR; Chakrabarty, K, Proceedings - 14th Asian Test Symposium ATS 2005: Foreword, Proceedings of the Asian Test Symposium, vol. 2005 (January, 2005), pp. 15, IEEE [doi] .
  621. Doi, Y; Kajihara, S; Wen, X; Li, L; Chakrabarty, K, Test compression for scan circuits using scan polarity adjustment and pinpoint test relaxation, Proceedings of the Asia and South Pacific Design Automation Conference, Asp Dac, vol. 1 (January, 2005), pp. 59-64, ACM Press [doi]  [abs].
  622. Chakrabarty, K; Mann, S; Dietzel, ID; Heumann, R, Stabilization and enhancement of dopaminergic properties by neuronal activation of Ras in neural stem cells, Neuro Visions 2 (2005), pp. 77-78 [Gateway.cgi] .
  623. Ray, U; Khan, GA; Chakrabarty, K; Chakrabarty, S; Reddy, G; Sinha, AK, Appearance of a novel antibody against insulin activated nitric oxide synthase (IANOS) in the circulation in acute coronary syndrome (ACS), Clinical Chemistry, vol. 51 (2005), pp. A2-A3 [Gateway.cgi] .
  624. Chakrabarty, K; Fahim, M, Modulation of guinea-pig tracheal smooth muscle activity by low temperature, Faseb Journal, vol. 19 no. 5 (2005), pp. A1277-A1278 [Gateway.cgi] .
  625. Shih Ping Lin, ; Chung Len Lee, ; Chen, JE, A cocktail approach on random access scan toward low power and high efficiency test, Iccad 2005. Ieee/Acm International Conference on Computer Aided Design, 2005. (2005), pp. 94-99, IEEE [mostRecentIssue.jsp], [doi] .
  626. Su, F; Ozev, S; Chakrabarty, K, Concurrent testing of droplet-based microfluidic systems for multiplexed biomedical assays, Proceedings International Test Conference (December, 2004), pp. 883-892  [abs].
  627. Su, F; Chakrabarty, K, Architectural-level synthesis of digital microfluidics-based biochips, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad (December, 2004), pp. 223-228, IEEE [doi]  [abs].
  628. Sehgal, A; Goel, SK; Marinissen, EJ; Chakrabarty, K, IEEE P1500-compliant test wrapper design for hierarchical cores, Proceedings International Test Conference (December, 2004), pp. 1203-1212  [abs].
  629. Sehgal, A; Iyengar, V; Chakrabarty, K, SOC test planning using virtual test access architectures, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 12 no. 12 (December, 2004), pp. 1263-1276, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  630. Li, L; Chakrabarty, K, On using exponential-golomb codes and subexponential codes for system-on-a-chip test data compression, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 20 no. 6 (December, 2004), pp. 667-670, Springer Nature [doi]  [abs].
  631. Goessel, M; Chakrabarty, K; Ocheretnij, V; Leininger, A, A signature analysis technique for the identification of failing vectors with application to scan-BIST, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 20 no. 6 (December, 2004), pp. 611-622, Springer Nature [doi]  [abs].
  632. Swaminathan, V; Chakrabarty, K, Network flow techniques for dynamic voltage scaling in hard real-time systems, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 23 no. 10 (October, 2004), pp. 1385-1398, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  633. Liu, C; Chakrabarty, K, Identification of error-capturing scan cells in scan-BIST with applications to system-on-chip, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 23 no. 10 (October, 2004), pp. 1447-1459, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  634. Liu, C; Dwarakanath, KN; Chakrabarty, K; Blanton, RD, Compact dictionaries for diagnosis of unmodeled faults in scan-BIST, Proceedings Ieee Computer Society Annual Symposium on Vlsi: Emerging Trends in Vlsi Systems Design (September, 2004), pp. 173-178, IEEE Comput. Soc [doi]  [abs].
  635. Paik, P; Pamula, VK; Chakrabarty, K, Thermal effects on droplet transport in digitial microfluidics with applications to chip cooling, Thermomechanical Phenomena in Electronic Systems Proceedings of the Intersociety Conference, vol. 1 (September, 2004), pp. 649-654  [abs].
  636. Mondal, N; Chakrabarty, K; Roy, S; Das, GK, Substituent effect on the stability of TS of Type-I ene cyclization: a theoretical study, Journal of Molecular Structure: Theochem, vol. 684 no. 1-3 (September, 2004), pp. 187-195, Elsevier BV [Gateway.cgi], [doi] .
  637. Li, L; Chakrabarty, K, Test set embedding for deterministic BIST using a reconfigurable interconnection network, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 23 no. 9 (September, 2004), pp. 1289-1305, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  638. Sabbineni, H; Chakrabarty, K, A survey of energy-efficient self-organization and data dissemination protocols for ad hoc sensor networks, Sensor Letters, vol. 2 no. 3-4 (September, 2004), pp. 194-204, American Scientific Publishers [doi]  [abs].
  639. Sehgal, A; Chakrabarty, K, Efficient modular testing of SOCs using dual-speed TAM architectures, Proceedings Design, Automation and Test in Europe Conference and Exhibition, vol. 1 (July, 2004), pp. 422-427, IEEE Comput. Soc [doi]  [abs].
  640. Tehranipour, M; Nourani, M; Chakrabarty, K, Nine-coded compression technique with application to reduced pin-count testing and flexible on-chip decompression, Proceedings Design, Automation and Test in Europe Conference and Exhibition, vol. 2 (July, 2004), pp. 1284-1289, IEEE Comput. Soc [doi]  [abs].
  641. Zhang, Y; Chakrabarty, K, Task feasibility analysis and dynamic voltage scaling in fault-tolerant real-time embedded systems, Proceedings Design, Automation and Test in Europe Conference and Exhibition, vol. 2 (July, 2004), pp. 1170-1175, IEEE Comput. Soc [doi]  [abs].
  642. Bajard, J-C; Imbert, L, a full RNS implementation of RSA, Ieee Transactions on Computers, vol. 53 no. 6 (June, 2004), pp. 769-774, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  643. CHAKRABARTY, K, NOTION OF FUZZY IC-BAGS, International Journal of Uncertainty, Fuzziness and Knowledge Based Systems, vol. 12 no. 03 (June, 2004), pp. 327-345, World Scientific Pub Co Pte Lt [doi]  [abs].
  644. Liu, C; Chakrabarty, K, Compact dictionaries for fault diagnosis in scan-BIST, Ieee Transactions on Computers, vol. 53 no. 6 (June, 2004), pp. 775-780, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  645. Wu, Q; Rao, NSV; Barhen, J; Sitharama Iyengar, S; Vaishnavi, VK; Qi, H; Chakrabarty, K, On computing mobile agent routes for data fusion in distributed sensor networks, Ieee Transactions on Knowledge and Data Engineering, vol. 16 no. 6 (June, 2004), pp. 740-753, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  646. Zhang, Y; Chakrabarty, K, Dynamic Adaptation for Fault Tolerance and Power Management in Embedded Real-Time Systems, Acm Transactions on Embedded Computing Systems, vol. 3 no. 2 (May, 2004), pp. 336-360, Association for Computing Machinery (ACM) [doi]  [abs].
  647. Chandra, A; Chakrabarty, K, Analysis of test application time for test data compression methods based on compression codes, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 20 no. 2 (April, 2004), pp. 199-212, Springer Nature [doi]  [abs].
  648. CHAKRABARTY, K, DECISION ANALYSIS USING IC-BAGS, International Journal of Information Technology & Decision Making, vol. 03 no. 01 (March, 2004), pp. 101-108, World Scientific Pub Co Pte Lt [doi]  [abs].
  649. Wu, WX; Ma, XH; Coksaygan, T; Chakrabarty, K; Collins, V; Rose, J; Nathanielsz, PW, Prostaglandin mediates premature delivery in pregnant sheep induced by estradiol at 121 days of gestational age., Endocrinology, vol. 145 no. 3 (March, 2004), pp. 1444-1452 [14645114], [doi]  [abs].
  650. Zou, Y; Chakrabarty, K, Sensor Deployment and Target Localization in Distributed Sensor Networks, Acm Transactions on Embedded Computing Systems, vol. 3 no. 1 (February, 2004), pp. 61-91, Association for Computing Machinery (ACM) [doi]  [abs].
  651. Su, F; Ozev, S; Chakrabarty, K, Test planning and test resource optimization for droplet-based microfluidic systems, Proceedings Ninth Ieee European Test Symposium, Ets 2004 (January, 2004), pp. 72-77  [abs].
  652. Zou, Y; Chakrabarty, K, Uncertainty-aware and coverage-oriented deployment for sensor networks, Journal of Parallel and Distributed Computing, vol. 64 no. 7 (January, 2004), pp. 788-798, Elsevier BV [doi]  [abs].
  653. Zhang, Y; Dick, R; Chakrabarty, K, Energy-aware deterministic fault tolerance in distributed real-time embedded systems, Proceedings Design Automation Conference (January, 2004), pp. 550-555 [doi]  [abs].
  654. Wu, WX; Wolf, R; Valentine, B; Chakrabarty, K; Valta, C; Unno, N; Sheikh, A; Nathanielsz, PW; Rose, J, Induction of intrauterine prostaglandin H synthase (PGHS)2 by estradiol after fetal adrenalectomy (ADX)., Journal of the Society for Gynecologic Investigation, vol. 11 no. 2 (2004), pp. 337A-337A [Gateway.cgi] .
  655. Wu, WX; Chakrabarty, K; Collins, V; Coksaygan, T; Nathanielsz, PW, Sufficient progesterone (P)-priming prior to estradiol (E) stimulation is required for optimal induction of the cervical prostaglandin (PG) system., Journal of the Society for Gynecologic Investigation, vol. 11 no. 2 (2004), pp. 238A-238A [Gateway.cgi] .
  656. Wu, WX; Chakrabarty, K; Collins, V; Coksaygan, T; Rose, JC; Nathanielsz, PW, Increased maternal plasma prostaglandin (PG) F-2 alpha is associated with maternal progesterone (P4) treatment in pregnant sheep., Journal of the Society for Gynecologic Investigation, vol. 11 no. 2 (2004), pp. 83A-83A [Gateway.cgi] .
  657. Zhang, T; Chakrabarty, K; Fair, RB, Behavioral modeling and performance evaluation of microelectrofluidics- based PCR systems using systemC, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 23 no. 6 (2004), pp. 843-858, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  658. Zhang, Y; Chakrabarty, K; Swaminathan, V, Energy-Aware Fault Tolerance in Fixed-Priority Real-Time Embedded Systems, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad (December, 2003), pp. 209-213  [abs].
  659. Kajihara, S; Doi, Y; Li, L; Chakrabarty, K, On combining pinpoint test set relaxation and run-length codes for reducing test data volume, Proceedings Ieee International Conference on Computer Design: Vlsi in Computers and Processors (December, 2003), pp. 387-392, IEEE Comput. Soc [doi]  [abs].
  660. Iyengar, V; Chandra, A; Schweizer, S; Chakrabarty, K, A unified approach for SoC testing using test data compression and TAM optimization, Proceedings Design, Automation and Test in Europe, Date (December, 2003), pp. 1188-1189, IEEE Comput. Soc [Gateway.cgi], [doi]  [abs].
  661. Liu, C; Chakrabarty, K, A partition-based approach for identifying failing scan cells in scan-BIST with applications to system-on-chip fault diagnosis, Proceedings Design, Automation and Test in Europe, Date (December, 2003), pp. 230-235, IEEE Comput. Soc [Gateway.cgi], [doi]  [abs].
  662. Zhang, Y; Chakrabarty, K, Energy-aware adaptive checkpointing in embedded real-time systems, Proceedings Design, Automation and Test in Europe, Date (December, 2003), pp. 918-923, IEEE Comput. Soc [Gateway.cgi], [doi]  [abs].
  663. Zou, Y; Chakrabarty, K, Energy-aware target localization in wireless sensor networks, Proceedings of the 1st Ieee International Conference on Pervasive Computing and Communications, Percom 2003 (December, 2003), pp. 60-67, IEEE Comput. Soc [doi]  [abs].
  664. Zou, Y; Chakrabarty, K, Uncertainty-Aware Sensor Deployment Algorithms for Surveillance Applications, Conference Record / Ieee Global Telecommunications Conference, vol. 5 (December, 2003), pp. 2972-2976  [abs].
  665. Iyengar, V; Chakrabarty, K; Marinissen, EJ, Test Access Mechanism Optimization Test Scheduling, and Tester Data Volume Reduction for System-on-Chip, Ieee Transactions on Computers, vol. 52 no. 12 (December, 2003), pp. 1619-1632 [doi]  [abs].
  666. Su, F; Ozev, S; Chakrabarty, K, Testing of Droplet-Based Microelectrofluidic Systems, Proceedings International Test Conference (November, 2003), pp. 1192-1200, IEEE [doi]  [abs].
  667. Li, L; Chakrabarty, K, Deterministic BIST Based on a Reconfigurable Interconnection Network, Proceedings International Test Conference (November, 2003), pp. 460-469, IEEE [doi]  [abs].
  668. Chakrabarty, K; Seuring, M, Space compaction of test responses using orthogonal transmission functions, Ieee Transactions on Instrumentation and Measurement, vol. 52 no. 5 (October, 2003), pp. 1353-1362, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  669. Li, L; Chakrabarty, K; Touba, NA, Test Data Compression Using Dictionaries with Selective Entries and Fixed-Length Indices, Acm Transactions on Design Automation of Electronic Systems, vol. 8 no. 4 (October, 2003), pp. 470-490, Association for Computing Machinery (ACM) [doi]  [abs].
  670. Das, SR; Sudarma, M; Assaf, MH; Petriu, EM; Jone, WB; Chakrabarty, K; Şhinoǧlu, M, Parity bit signature in response data compaction and built-in self-testing of VLSI circuits with nonexhaustive test sets, Ieee Transactions on Instrumentation and Measurement, vol. 52 no. 5 (October, 2003), pp. 1363-1380, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  671. Zou, Y; Chakrabarty, K, Sensor deployment and target localization based on virtual forces, Proceedings Ieee Infocom, vol. 2 (August, 2003), pp. 1293-1303  [abs].
  672. Chandra, A; Chakrabarty, K, Test data compression and test resource partitioning for system-on-a-chip using frequency-directed run-length (FDR) codes, Ieee Transactions on Computers, vol. 52 no. 8 (August, 2003), pp. 1076-1088, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  673. Wu, WX; Ma, XH; Zhang, Q; Chakrabarty, K; Nathanielsz, PW, Characterization of two labor-induced genes, DSCR1 and TCTE1L, in the pregnant ovine myometrium., The Journal of Endocrinology, vol. 178 no. 1 (July, 2003), pp. 117-126 [12844343], [doi]  [abs].
  674. Swaminathan, V; Chakrabarty, K, Energy-conscious, deterministic I/O device scheduling in hard real-time systems, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 22 no. 7 (July, 2003), pp. 847-858, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  675. Liu, C; Chakrabarty, K, Failing vector identification based on overlapping intervals of test vectors in a scan-BIST environment, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 22 no. 5 (May, 2003), pp. 593-604, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  676. Iyengar, V; Chakrabarty, K; Marinissen, EJ, Efficient test access mechanism optimization for system-on-chip, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 22 no. 5 (May, 2003), pp. 635-643, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  677. Lee, J; Chakrabarty, K; Yi, J, Photoluminescence and morphological studies of porous silicon, Applied Surface Science, vol. 211 no. 1-4 (April, 2003), pp. 373-378, Elsevier BV [Gateway.cgi], [doi] .
  678. Chakrabarty, K, A synthesis-for-transparency approach for hierarchical and system-on-a-chip test, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 11 no. 2 (April, 2003), pp. 167-179, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  679. Park, JH; Kim, DY; Ko, JK; Chakrabarty, K; Yi, J, High temperature crystallized poly-Si on Mo substrates for TFT application, Thin Solid Films, vol. 427 no. 1-2 (March, 2003), pp. 303-308, Elsevier BV [Gateway.cgi], [doi] .
  680. Chandra, A; Chakrabarty, K, A unified approach to reduce SOC test data volume, scan power and testing time, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 22 no. 3 (March, 2003), pp. 352-362, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  681. Zhang, Y; Chakrabarty, K, Adaptive checkpointing with dynamic voltage scaling in embedded real-time systems, edited by Jerraya, AA; Yoo, S; Verkest, D; Wehn, N, Embedded Software for Soc (January, 2003), pp. 449-463, Kluwer Academic Publishers [Gateway.cgi] .
  682. Zou, Y; Chakrabarty, K, Target localization based on energy considerations in distributed sensor networks, Proceedings of the 1st Ieee International Workshop on Sensor Network Protocols and Applications, Snpa 2003 (January, 2003), pp. 51-58, IEEE [Gateway.cgi], [doi]  [abs].
  683. Sehgal, A; Dubey, A; Marinissen, EJ; Wouters, C; Vranken, H; Chakrabarty, K, Yield analysis for repairable embedded memories, Proceedings of the European Test Workshop, vol. 2003-January (January, 2003), pp. 35-40, IEEE Comput. Soc [Gateway.cgi], [doi]  [abs].
  684. Iyengar, V; Chakrabarty, K; Krasniewski, MD; Kumar, GN, Design and optimization of multi-level TAM architectures for hierarchical SOCs, Proceedings of the Ieee Vlsi Test Symposium, vol. 2003-January (January, 2003), pp. 299-304, IEEE Comput. Soc [mostRecentIssue.jsp], [doi]  [abs].
  685. Dhillon, SS; Chakrabarty, K, Sensor placement for effective coverage and surveillance in distributed sensor networks, Ieee Wireless Communications and Networking Conference, Wcnc, vol. 3 (January, 2003), pp. 1609-1614, IEEE [mostRecentIssue.jsp], [doi]  [abs].
  686. Ying Zhang, ; Krishnendu Chakrabarty,, Fault recovery based on checkpointing for hard real-time embedded systems, Proceedings. 16th Ieee Symposium on Computer Arithmetic, vol. 2003-January (January, 2003), pp. 320-327, IEEE Comput. Soc [mostRecentIssue.jsp], [doi]  [abs].
  687. Li, L; Chakrabarty, K, Test data compression using dictionaries with fixed-length indices [SOC testing], Proceedings of the Ieee Vlsi Test Symposium, vol. 2003-January (January, 2003), pp. 219-224, IEEE Comput. Soc [mostRecentIssue.jsp], [doi]  [abs].
  688. Liu, C; Chakrabarty, K, Compact dictionaries for fault diagnosis in BIST, Proceedings International Symposium on Quality Electronic Design, Isqed, vol. 2003-January (January, 2003), pp. 105-110, IEEE Comput. Soc [mostRecentIssue.jsp], [doi]  [abs].
  689. Pamula, VK; Chakrabarty, K, Cooling of integrated circuits using droplet-based microfluidics, Proceedings of the Ieee Great Lakes Symposium on Vlsi (January, 2003), pp. 84-87 [doi]  [abs].
  690. Sehgal, A; Iyengar, V; Krasniewski, MD; Chakrabarty, K, Test cost reduction for SOCs using virtual TAMs and lagrange multipliers, Proceedings Design Automation Conference (January, 2003), pp. 738-743 [doi]  [abs].
  691. Sehgal, A; Ozev, S; Chakrabarty, K, TAM Optimization for Mixed-Signal SOCs using Analog Test Wrappers, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad (January, 2003), pp. 95-99 [doi]  [abs].
  692. Swaminathan, V; Chakrabarty, K, Generalized Network Flow Techniques for Dynamic Voltage Scaling in Hard Real-Time Systems, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad (January, 2003), pp. 21-25 [doi]  [abs].
  693. Oliver, LD; Chakrabarty, K; Brooks, RR, Locomotion-based dynamic power management in embedded real-time systems, Smart Structures and Materials 2005: Active Materials: Behavior and Mechanics, vol. 5205 (January, 2003), pp. 185-196, SPIE [doi]  [abs].
  694. Zou, Y; Chakrabarty, K, Target localization based on energy considerations in distributed sensor networks, Ad Hoc Networks, vol. 1 no. 2-3 (January, 2003), pp. 261-272, Elsevier BV [doi]  [abs].
  695. Wu, WX; Chakrabarty, K; Ma, XH; Sheikh, A; Giussani, D; Nathanielsz, PW, Characterization of progesterone receptor A and B mRNA in the pregnant rhesus monkey myometrium, amnion, chorion and placenta in late gestation and during labor, Biology of Reproduction, vol. 68 (2003), pp. 152-153 [Gateway.cgi] .
  696. Moon, S; Kim, K; Yoo, J; PArk, J; Kim, D; Chakrabarty, K; Lee, S; Yi, J, N-2 plasma treatment effects in silicon nitride film formation for silicon surface passivation, Proceedings of 3rd World Conference on Photovoltaic Energy Conversion, Vols a C (2003), pp. 102-105 [Gateway.cgi] .
  697. Wu, WX; Ma, XH; Collins, V; Chakrabarty, K; Coksaygan, T; Nathanielsz, PW, Regulation of prostaglandin E synthase in pregnant sheep intrauterine tissues by estradiol and progesterone., Biology of Reproduction, vol. 68 (2003), pp. 205-205 [Gateway.cgi] .
  698. Chakrabarty, K, Intuition in soft decision analysis, edited by Wang, G; Liu, Q; Yao, Y; Skowron, A, Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics), vol. 2639 (2003), pp. 374-377, SPRINGER [html], [doi] .
  699. Pradhan, DK; Chunsheng Liu, ; Chakraborty, K, EBIST: a novel test generator with built-in fault detection capability, 2003 Design, Automation and Test in Europe Conference and Exhibition (2003), pp. 10224-10229, IEEE Comput. Soc [mostRecentIssue.jsp], [doi] .
  700. Iyengar, V; Chandra, A; Schweizer, S; Chakrabarty, K, A Unified Approach for SOC Testing Using Test Data Compression and TAM Optimization., Date (2003), pp. 11188-11190, IEEE Computer Society [mostRecentIssue.jsp], [doi] .
  701. Zhang, Y; Chakrabarty, K, Energy-Aware Adaptive Checkpointing in Embedded Real-Time Systems., Date (2003), pp. 10918-10925, IEEE Computer Society [mostRecentIssue.jsp], [doi] .
  702. Liu, C; Chakrabarty, K, A Partition-Based Approach for Identifying Failing Scan Cells in Scan-BIST with Applications to System-on-Chip Fault Diagnosis., Date (2003), pp. 10230-10237, IEEE Computer Society [mostRecentIssue.jsp], [doi] .
  703. Marinissen, EJ; Iyengar, V; Chakrabarty, K, A set of benchmarks for modular testing of SOCs, Proceedings International Test Conference (December, 2002), pp. 519-528, IEEE [doi]  [abs].
  704. Iyengar, V; Chakrabarty, K; Marinissen, EJ, Efficient Wrapper/TAM co-optimization for large SOCs, Proceedings Design, Automation and Test in Europe, Date (December, 2002), pp. 491-498, IEEE Comput. Soc [mostRecentIssue.jsp], [doi]  [abs].
  705. Liu, C; Chakrabarty, K; Goessel, M, An interval-based diagnosis scheme for identifying failing vectors in a scan-BIST environment, Proceedings Design, Automation and Test in Europe, Date (December, 2002), pp. 382-386, IEEE Comput. Soc [mostRecentIssue.jsp], [doi]  [abs].
  706. Chandra, A; Chakrabarty, K, Test resource partitioning and reduced pin-count testing based on test data compression, Proceedings Design, Automation and Test in Europe, Date (December, 2002), pp. 598-603, IEEE Comput. Soc [mostRecentIssue.jsp], [doi]  [abs].
  707. Zhang, T; Chakrabarty, K; Fair, RB, Integrated hierarchical design of microelectrofluidic systems using SystemC, 2002 International Conference on Modeling and Simulation of Microsystems Msm 2002 (December, 2002), pp. 144-149  [abs].
  708. Zhang, T; Chakrabarty, K; Fair, RB, System performance evaluation with systemC for two PCR microelectrofluidic systems, 2002 International Conference on Modeling and Simulation of Microsystems Msm 2002 (December, 2002), pp. 48-53  [abs].
  709. Chakrabarty, K; Iyengar, SS; Qi, H; Cho, E, Grid coverage for surveillance and target location in distributed sensor networks, Ieee Transactions on Computers, vol. 51 no. 12 (December, 2002), pp. 1448-1453, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  710. Bhattacharya, BB; Dmitriev, A; Gössel, M; Chakrabarty, K, Synthesis of single-output space compactors for scan-based sequential circuits, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 21 no. 10 (October, 2002), pp. 1171-1179, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  711. Chakrabarty, K; Marinissen, EJ, How useful are the ITC 02 SoC test benchmarks?, Ieee Design & Test of Computers, vol. 19 no. 5 (September, 2002), pp. 120+119  [abs].
  712. Iyengar, V; Chakrabarty, K, System-on-a-chip test scheduling with precedence relationships, preemption, and power constraints, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 21 no. 9 (September, 2002), pp. 1088-1094, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  713. Chakrabarty, K, Guest editorial, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 18 no. 4-5 (August, 2002), pp. 363 [doi] .
  714. Chandra, A; Chakrabarty, K, Test data compression and decompression based on internal scan chains and Golomb coding, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 21 no. 6 (June, 2002), pp. 715-722, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  715. Chandra, A; Chakrabarty, K, Low-power scan testing and test data compression for system-on-a-chip, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 21 no. 5 (May, 2002), pp. 597-604, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  716. Iyengar, V; Chakrabarty, K, Test bus sizing for system-on-a-chip, Ieee Transactions on Computers, vol. 51 no. 5 (May, 2002), pp. 449-459 [doi]  [abs].
  717. Iyengar, V; Chakrabarty, K; Marinissen, EJ, Test wrapper and test access mechanism co-optimization for system-on-chip, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 18 no. 2 (April, 2002), pp. 213-230 [doi]  [abs].
  718. Das, SR; Liang, JY; Petriu, EM; Assaf, MH; Jone, WB; Chakrabarty, K, Data compression in space under generalized mergeability based on concepts of cover table and frequency ordering, Ieee Transactions on Instrumentation and Measurement, vol. 51 no. 1 (February, 2002), pp. 150-172, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  719. Dhillon, SS; Chakrabarty, K; Iyengar, SS, Sensor placement for grid coverage under imprecise detections, Proceedings of the 5th International Conference on Information Fusion, Fusion 2002, vol. 2 (January, 2002), pp. 1581-1587, Int. Soc. Inf. Fusion [Gateway.cgi], [doi]  [abs].
  720. Swaminathan, V; Chakrabarty, K, Dynamic I/O power management in real-time systems with multiple-state I/O devices, Proceedings of the Fifth International Conference on Information Fusion, Vol Ii (January, 2002), pp. 1588-1595, INT SOC INFORMATION FUSION [Gateway.cgi] .
  721. Swaminathan, V; Chakrabarty, K, Dynamic I/O power management in real-time systems with multiple-state I/O devices, Proceedings of the 5th International Conference on Information Fusion, Fusion 2002, vol. 2 (January, 2002), pp. 965-972, Int. Soc. Inf. Fusion [Gateway.cgi], [doi]  [abs].
  722. Swaminathan, V; Schweizer, CB; Chakrabarty, K; Patel, AA, Experiences in implementing an energy-driven task scheduler in RT-Linux, Real Time Technology and Applications Proceedings (January, 2002), pp. 229-238, IEEE Comput. Soc [mostRecentIssue.jsp], [doi]  [abs].
  723. Iyengar, V; Chakrabarty, K; Marinissen, EJ, On using rectangle packing for SOC wrapper/TAM co-optimization, Proceedings of the Ieee Vlsi Test Symposium, vol. 2002-January (January, 2002), pp. 253-258, IEEE Comput. Soc [mostRecentIssue.jsp], [doi]  [abs].
  724. Iyengar, V; Chakrabarty, K; Marinissen, EJ, Recent advances in test planning for modular testing of core-based SOCs, Proceedings of the Asian Test Symposium, vol. 2002-January (January, 2002), pp. 320-325, IEEE Comput. Soc [mostRecentIssue.jsp], [doi]  [abs].
  725. Dmitriev, A; Gossel, M; Chakrabarty, K, Robust space compaction of test responses, Proceedings of the Asian Test Symposium, vol. 2002-January (January, 2002), pp. 254-259, IEEE Comput. Soc [mostRecentIssue.jsp], [doi]  [abs].
  726. Chandra, A; Chakrabarty, K; Medina, RA, How effective are compression codes for reducing test data volume?, Proceedings of the Ieee Vlsi Test Symposium, vol. 2002-January (January, 2002), pp. 91-96, IEEE Comput. Soc [mostRecentIssue.jsp], [doi]  [abs].
  727. Iyengar, V; Chakrabarty, K; Marinissen, EJ, Wrapper/TAM co-optimization, constraint-driven test scheduling, and tester data volume reduction for SOCs, Proceedings Design Automation Conference (January, 2002), pp. 685-690, IEEE [doi]  [abs].
  728. Chandra, A; Chakrabarty, K, Reduction of SOC test data volume, scan power and testing time using alternating run-length codes, Proceedings Design Automation Conference (January, 2002), pp. 673-678 [doi]  [abs].
  729. Swaminathan, V; Chakrabarty, K, Pruning-based energy-optimal device scheduling for hard real-time systems, Hardware/Software Codesign Proceedings of the International Workshop (January, 2002), pp. 175-180 [doi]  [abs].
  730. Qi, H; Wang, X; Sitharama Iyengar, S; Chakrabarty, K, High performance sensor integration in distributed sensor networks using mobile agents, The International Journal of High Performance Computing Applications, vol. 16 no. 3 (January, 2002), pp. 325-335, SAGE Publications [doi]  [abs].
  731. Iyengar, V; Goel, SK; Marinissen, EJ; Chakrabarty, K, Test resource optimization for multi-site testing of SOCs under ATE memory depth constraints, Proceedings International Test Conference (January, 2002), pp. 1159-1168, IEEE [doi]  [abs].
  732. Gangopadhyay, U; Saha, H; Dutta, SK; Kyunghae Kim, ; Chakrabarty, K; Junsin Yi,, Front grid design for plated contact solar cells, Conference Record of the Twenty Ninth Ieee Photovoltaic Specialists Conference, 2002. (2002), pp. 399-402, IEEE [Gateway.cgi], [doi] .
  733. Lee, WJ; Lee, JH; Gangopadhyay, U; Parm, IO; Chakrabarty, K; Kyunghae Kim, ; Yi, J, High-density hollow cathode plasma etching for large area multicrystalline silicon solar cells, Conference Record of the Twenty Ninth Ieee Photovoltaic Specialists Conference, 2002. (2002), pp. 296-299, IEEE [Gateway.cgi], [doi] .
  734. McGinnis, JF; Matsumoto, B; Whelan, JP; Sezate, S; Chakrabarty, K; Cao, W, Cytoskeletal participation in reciprocal translocation of arrestin in rod photoreceptor cells, Investigative Ophthalmology & Visual Science, vol. 43 (2002), pp. U1033-U1033 [Gateway.cgi] .
  735. Iyengar, V; Chakrabarty, K; Marinissen, EJ, Wrapper/TAM co-optimization, constraint-driven test scheduling, and tester data volume reduction for SOCs., Dac (2002), pp. 685-690, ACM [citation.cfm], [doi] .
  736. Zhang, T; Chakrabarty, K; Fair, RB, Integrated hierarchical design of microelectrofluidic systems using SystemC, Microelectronics Journal, vol. 33 no. 5-6 (2002), pp. 459-470, Elsevier BV [doi]  [abs].
  737. Zhang, T; Chakrabarty, K; Fair, RB, Design of reconfigurable composite microsystems based on hardware/software codesign principles, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 21 no. 8 (2002), pp. 987-995, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  738. Chandra, A; Chakrabarty, K, Efficient test data compression and decompression for system-on-a-chip using internal scan chains and Golomb coding, edited by Nebel, W; Jerraya, A, Proceedings Design, Automation and Test in Europe, Date (December, 2001), pp. 145-149, IEEE Comput. Soc [mostRecentIssue.jsp], [doi]  [abs].
  739. Zhang, T; Chakrabarty, K; Fair, RB, Design of reconfigurable composite microsystems based on hardware/software co-design principles 1, 2001 International Conference on Modeling and Simulation of Microsystems Msm 2001 (December, 2001), pp. 148-152  [abs].
  740. Ding, J; Chakrabarty, K; Fair, RB, Reconfigurable microfluidic system architecture based on two-dimensional electrowetting arrays, 2001 International Conference on Modeling and Simulation of Microsystems Msm 2001 (December, 2001), pp. 181-185  [abs].
  741. Ding, J; Chakrabarty, K; Fair, RB, Scheduling of microfluidic operations for reconfigurable two-dimensional electrowetting arrays, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 20 no. 12 (December, 2001), pp. 1463-1468, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  742. Swaminathan, S; Chakrabarty, K, On using twisted-ring counters for test set embedding in BIST, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 17 no. 6 (December, 2001), pp. 529-542 [doi]  [abs].
  743. Iyengar, V; Date, H; Sugihara, M; Chakrabarty, K, Hierarchical intellectual property protection using partially-mergeable cores, Ieice Transactions on Fundamentals of Electronics Communications and Computer Sciences, vol. E84A no. 11 (November, 2001), pp. 2632-2638, IEICE-INST ELECTRONICS INFORMATION COMMUNICATIONS ENG [Gateway.cgi] .
  744. Sarbadhikari, SN; Chakrabarty, K, Chaos in the brain: a short review alluding to epilepsy, depression, exercise and lateralization., Medical Engineering & Physics, vol. 23 no. 7 (September, 2001), pp. 445-455 [11574252], [doi]  [abs].
  745. Chandra, A; Chakrabarty, K, Test resource partitioning for SOCs, Ieee Design & Test of Computers, vol. 18 no. 5 (September, 2001), pp. 80-91, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  746. Iyengar, SS; Chakrabarty, K; Qi, H, Introduction to special issue on "distributed sensor networks for real-time systems with adaptive configuration": Editorial, Journal of the Franklin Institute, vol. 338 no. 6 (September, 2001), pp. 651-653, Elsevier BV [doi] .
  747. Swaminathan, V; Chakrabarty, K, Real-time task scheduling for energy-aware embedded systems, Journal of the Franklin Institute, vol. 338 no. 6 (September, 2001), pp. 729-750, Elsevier BV [doi]  [abs].
  748. Qi, H; Iyengar, SS; Chakrabarty, K, Multiresolution data integration using mobile agents in distributed sensor networks, Ieee Transactions on Systems, Man and Cybernetics, Part C (Applications and Reviews), vol. 31 no. 3 (August, 2001), pp. 383-391, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  749. Zhang, TH; Cao, F; Dewey, AM; Fair, RB; Chakrabarty, K, Performance analysis of microelectrofluidic systems using hierarchical modeling and simulation (vol 48, pg 482, 2001), Ieee Transactions on Circuits and Systems Ii: Analog and Digital Signal Processing, vol. 48 no. 7 (July, 2001), pp. 749-749, IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC [Gateway.cgi], [doi] .
  750. Chandra, A; Chakrabarty, K, System-on-a-chip test-data compression and decompression architectures based on Golomb codes, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 20 no. 3 (March, 2001), pp. 355-368, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  751. Chakrabarty, K; Iyengar, SS; Qi, H; Cho, E, Coding theory framework for target location in distributed sensor networks, Proceedings International Conference on Information Technology: Coding and Computing, Itcc 2001 (January, 2001), pp. 130-134, IEEE Comput. Soc [mostRecentIssue.jsp], [doi]  [abs].
  752. Swaminathan, V; Chakrabarty, K, Investigating the effect of voltage-switching on low-energy task scheduling in hard real-time systems, edited by Goto, S, Proceedings of the Asp Dac 2001: Asia and South Pacific Design Automation Conference 2001, vol. 2001-January (January, 2001), pp. 251-254, IEEE COMPUTER SOC [370155], [doi]  [abs].
  753. Chakrabarty, K, Optimal test access architectures for system-on-a-chip, Acm Transactions on Design Automation of Electronic Systems, vol. 6 no. 1 (January, 2001), pp. 26-49, Association for Computing Machinery (ACM) [doi]  [abs].
  754. Swaminathan, S; Chakrabarty, K, A deterministic scan-BIST architecture with application to field testing of high-availability systems, Proceedings of the Custom Integrated Circuits Conference (January, 2001), pp. 259-262, IEEE [doi]  [abs].
  755. Swaminathan, V; Chakrabarty, K; Iyengar, SS, Dynamic I/O power management for hard real-time systems, Hardware/Software Codesign Proceedings of the International Workshop (January, 2001), pp. 237-242, ACM Press [doi]  [abs].
  756. Das, SR; Assaf, MH; Petriu, EM; Jone, WB; Chakrabarty, K, A novel approach to designing aliasing-free space compactors based on switching theory formulation, Conference Record Ieee Instrumentation and Measurement Technology Conference, vol. 1 (January, 2001), pp. 198-203, IEEE [doi]  [abs].
  757. Chandra, A; Chakrabarty, K; Das, SR, On using twisted-ring counters for testing embedded cores in system-on-a-chip designs, Conference Record Ieee Instrumentation and Measurement Technology Conference, vol. 1 (January, 2001), pp. 216-220  [abs].
  758. Chandra, A; Chakrabarty, K, Combining low-power scan testing and test data compression for system-on-a-chip, Proceedings Design Automation Conference (January, 2001), pp. 166-169 [doi]  [abs].
  759. Qi, H; Iyengar, SS; Chakrabarty, K, Distributed multi-resolution data integration using mobile agents, Ieee Aerospace Conference Proceedings, vol. 3 (January, 2001), pp. 31133-31141, IEEE [doi]  [abs].
  760. Chandra, A; Chakrabarty, K, Frequency-directed run-length (FDR) codes with application to system-on-a-chip test data compression, Proceedings of the Ieee Vlsi Test Symposium (January, 2001), pp. 42-47, IEEE Comput. Soc [doi]  [abs].
  761. Morosov, A; Chakrabarty, K; Gössel, M; Bhattacharya, B, Design of parameterizable error-propagating space compactors for response observation, Proceedings of the Ieee Vlsi Test Symposium (January, 2001), pp. 48-53, IEEE Comput. Soc [doi]  [abs].
  762. Chakrabarty, K; Mukherjee, R; Exnicios, A, Synthesis of transparent circuits for hierarchical and system-on-a-chip test, Proceedings of the Ieee International Conference on Vlsi Design (January, 2001), pp. 431-436, IEEE Comput. Soc [doi]  [abs].
  763. Iyengar, V; Chakrabarty, K, Precedence-based, preemptive, and power-constrained test scheduling for system-on-a-chip, Proceedings of the Ieee Vlsi Test Symposium (January, 2001), pp. 368-374, IEEE Comput. Soc [doi]  [abs].
  764. Chandra, A; Chakrabarty, K; Hansen, MC, Efficient test application for core-based systems using twisted-ring counters, Vlsi Design, vol. 12 no. 4 (January, 2001), pp. 475-486, Hindawi Limited [doi]  [abs].
  765. Iyengar, V; Chakrabarty, K; Jan Marinissen, E, Test wrapper and test access mechanism co-optimization for system-on-chip, Proceedings International Test Conference (January, 2001), pp. 1023-1032, IEEE [doi]  [abs].
  766. Qi, H; Iyengar, SS; Chakrabarty, K, Distributed sensor networks - A review of recent research, Journal of the Franklin Institute, vol. 338 no. 6 (January, 2001), pp. 655-668, Elsevier BV [doi]  [abs].
  767. Iyengar, V; Date, H; Sugihara, M; Chakrabarty, K, Hierarchical intellectual property protection using partially-mergeable cores, Ieice Transactions on Fundamentals of Electronics, Communications and Computer Sciences, vol. E84-A no. 11 (January, 2001), pp. 2632-2638  [abs].
  768. Chakrabarty, K; Iyengar, SS, Sensor placement in distributed sensor networks using a coding theory framework, Ieee International Symposium on Information Theory Proceedings (January, 2001), pp. 157, IEEE [doi]  [abs].
  769. Zhang, T; Cao, F; Dewey, AM; Fair, RB; Chakrabarty, K, Corrections to “Performance Analysis of Microelectrofluidic Systems Using Hierarchical Modeling and Simulation”, Ieee Transactions on Circuits and Systems Ii: Analog and Digital Signal Processing, vol. 48 no. 7 (January, 2001), pp. 749, Institute of Electrical and Electronics Engineers (IEEE) [doi] .
  770. Qi, HR; Iyengar, SS; Chakrabarty, K, Distributed multi-resolution data integration using mobile agents, Ieee Aerospace Conference Proceedings (2001), pp. 1133-1141 [Gateway.cgi] .
  771. Achyuthan, AM; Sezate, S; Chakrabarty, K; Cao, W; McGinnis, JF, Regulatory elements of the mouse recoverin gene., Investigative Ophthalmology & Visual Science, vol. 42 no. 4 (2001), pp. S353-S353 [Gateway.cgi] .
  772. Chakrabarty, K, Roughness indicator Fuzzy set, Soft Computing Techniques and Applications (2001), pp. 56-61 [Gateway.cgi] .
  773. Bhattacharya, BB; Dmitriev, A; Gossel, M; Chakrabarty, K, Synthesis of single-output space compactors with application to scan-based IP cores, edited by Goto, S, Proceedings of the Asp Dac 2001: Asia and South Pacific Design Automation Conference 2001, vol. 2001-January (2001), pp. 496-501, ACM [370155], [doi]  [abs].
  774. Zhang, T; Cao, F; Dewey, AM; Fair, RB; Chakrabarty, K, Performance analysis of microelectrofluidic systems using hierarchical modeling and simulation, Ieee Transactions on Circuits and Systems Ii: Analog and Digital Signal Processing, vol. 48 no. 5 (2001), pp. 482-491, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  775. Sarkar, M; Gangopadhyay, P; Basak, B; Chakrabarty, K; Banerji, J; Adhikary, P; Chatterjee, A, The reversible antifertility effect of Piper betle Linn. on Swiss albino male mice., Contraception, vol. 62 no. 5 (November, 2000), pp. 271-274 [11172798], [doi]  [abs].
  776. Chakrabarty, K; Murray, BT; Iyengar, V, Deterministic built-in test pattern generation for high-performance circuits using twisted-ring counters, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 8 no. 5 (October, 2000), pp. 633-636, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  777. Chakrabarty, K, Test scheduling for core-based systems using mixed-integer linear programming, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 19 no. 10 (October, 2000), pp. 1163-1174, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  778. Das, SR; Barakat, TF; Petriu, EM; Assaf, MH; Chakrabarty, K, Space compression revisited, Ieee Transactions on Instrumentation and Measurement, vol. 49 no. 3 (June, 2000), pp. 690-705, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  779. Chakrabarty, K; Das, SR, Test-set embedding based on width compression for mixed-mode BIST, Ieee Transactions on Instrumentation and Measurement, vol. 49 no. 3 (June, 2000), pp. 671-678, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  780. Chakrabarty, K; Biswas, R; Nanda, S, Fuzziness in rough sets, Fuzzy Sets and Systems, vol. 110 no. 2 (March, 2000), pp. 247-251, Elsevier BV [Gateway.cgi], [doi] .
  781. Chakrabarty, K; Swaminathan, S, Built-in self testing of high-performance circuits using twisted-ring counters, Proceedings Ieee International Symposium on Circuits and Systems, vol. 1 (January, 2000), pp. I-72-I-75 [doi]  [abs].
  782. Chakrabarty, K, Design of system-on-a-chip test access architectures under place-and-route and power constraints, Proceedings Design Automation Conference (January, 2000), pp. 432-437, ACM Press [doi]  [abs].
  783. Chakrabarty, K, Design of system-on-a-chip test access architectures using integer linear programming, Proceedings of the Ieee Vlsi Test Symposium (January, 2000), pp. 127-134, IEEE Comput. Soc [doi]  [abs].
  784. Das, SR; Liang, J; Petriu, EM; Jone, WB; Chakrabarty, K, Data compression in space under generalized mergeability based on concepts of cover table and frequency ordering, Conference Record Ieee Instrumentation and Measurement Technology Conference, vol. 1 (January, 2000), pp. 217-222, IEEE [doi]  [abs].
  785. Seuring, M; Chakrabarty, K, Space compaction of test responses for IP cores using orthogonal transmission functions, Proceedings of the Ieee Vlsi Test Symposium (January, 2000), pp. 213-219, IEEE Comput. Soc [doi]  [abs].
  786. Chandra, A; Chakrabarty, K, Test data compression for system-on-a-chip using Golomb codes, Proceedings of the Ieee Vlsi Test Symposium (January, 2000), pp. 113-120, IEEE Comput. Soc [doi]  [abs].
  787. Chakrabarty, K, On bags and fuzzy bags, Soft Computing Techniques and Applications (2000), pp. 201-212 [Gateway.cgi] .
  788. CHAKRABARTY, K, Test scheduling for core-based systems using mixed-integer linear programming, Ieee Trans. Comput. Aided Des. Integr. Circuits Syst., vol. 19 no. 10 (2000), pp. 1163-1174 .
  789. Date, H; Iyengar, V; Chakrabarty, K; Sugihara, M, Mathematical Modeling of Intellectual Property Protection Using Partially-Mergeable Cores., edited by Arabnia, HR, Pdpta (2000), CSREA Press [html] .
  790. Chakrabarty, K, On Fuzzy Lattice., edited by Ziarko, W; Yao, YY, Rough Sets and Current Trends in Computing, vol. 2005 (2000), pp. 238-242, SPRINGER [html], [doi] .
  791. Chakrabarty, K, Test scheduling for core-based systems, Ieee/Acm International Conference on Computer Aided Design, Digest of Technical Papers, Iccad (December, 1999), pp. 391-394, IEEE [doi]  [abs].
  792. Chakrabarty, K; Biswas, R; Nanda, S, A note on fuzzy union and fuzzy intersection, Fuzzy Sets and Systems, vol. 105 no. 3 (August, 1999), pp. 499-502, Elsevier BV [Gateway.cgi], [doi] .
  793. Roy, A; Chakrabarty, K; Dutta, PK; Bar, NC; Basu, N; Achari, B; Mandal, SB, Chiral Carbocyclic Nucleosides from d-Glucose:  Enantiodivergent Synthesis and One-Pot Entry of Dimethylamino Functionality in the Purine Rings, The Journal of Organic Chemistry, vol. 64 no. 7 (April, 1999), pp. 2304-2309, American Chemical Society (ACS) [Gateway.cgi], [doi] .
  794. Chakrabarty, K; Biswas, R; Nanda, S, Fuzzy L-structure, Fuzzy Sets and Systems, vol. 103 no. 1 (April, 1999), pp. 177-182, Elsevier BV [Gateway.cgi], [doi] .
  795. Chakrabarty, K; Biswas, R; Nanda, S, Fuzzy shadows, Fuzzy Sets and Systems, vol. 101 no. 3 (February, 1999), pp. 413-421, Elsevier BV [Gateway.cgi], [doi] .
  796. Karpovsky, MG; Chakrabarty, K; Levitin, LB; Avresky, DR, On the covering of vertices for fault diagnosis in hypercubes, Information Processing Letters, vol. 69 no. 2 (January, 1999), pp. 99-103, Elsevier BV [doi]  [abs].
  797. Hammes, GG, Editorial., Biochemistry, vol. 38 no. 1 (January, 1999), pp. 1 [9890876], [doi] .
  798. Das, SR; Barakat, TF; Petriu, EM; Assaf, MH; Chakrabarty, K, Space compression revisited, Conference Record Ieee Instrumentation and Measurement Technology Conference, vol. 2 (January, 1999), pp. 849-854  [abs].
  799. Chakrabarty, K; Murray, BT; Iyengar, V, Built-in test pattern generation for high-performance circuits using twisted-ring counters, Proceedings of the Ieee Vlsi Test Symposium (January, 1999), pp. 22-27, IEEE Comput. Soc [doi]  [abs].
  800. Chakrabarty, K; Das, SR, Test set embedding based on width compression for mixed-mode BIST, Conference Record Ieee Instrumentation and Measurement Technology Conference, vol. 3 (January, 1999), pp. 1778-1783  [abs].
  801. Flores, P; Neto, H; Chakrabarty, K; Marques-Silva, J, Test pattern generation for width compression in BIST, Proceedings Ieee International Symposium on Circuits and Systems, vol. 1 (January, 1999), pp. I-114 - I-118  [abs].
  802. Iyengar, V; Chakrabarty, K; Murray, BT, Deterministic built-in pattern generation for sequential circuits, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 15 no. 1 (January, 1999), pp. 97-114 [doi]  [abs].
  803. BAE, S; CHAKRABARTY, K; SEERY, T; WEISS, R, THERMOPROCESSIBLE HYDROGELS. I. SYNTHESIS AND PROPERTIES OF POLYACRYLAMIDES WITH PERFLUOROALKYL SIDE CHAINS, Journal of Macromolecular Science, Part a Pure and Applied Chemistry, vol. 36 no. 7&8 (1999), pp. 931-948, Informa UK Limited [Gateway.cgi], [doi] .
  804. Bae, SS; Chakrabarty, K; Seery, TAP; Weiss, RA, Association behavior of poly(N-isopropylacrylamide) with perfluoroalkyl side chain, Abstracts of Papers of the American Chemical Society, vol. 217 (1999), pp. U408-U408 [Gateway.cgi] .
  805. Chakrabarty, K; Biswas, R; Nanda, S, On Yager's theory of bags and fuzzy bags, Computers and Artificial Intelligence, vol. 18 no. 1 (1999), pp. 1-17 .
  806. Iyengar, V; Chakrabarty, K; Murray, BT, Huffman encoding of test sets for sequential circuits, Ieee Transactions on Instrumentation and Measurement, vol. 47 no. 1 (December, 1998), pp. 21-25 [doi]  [abs].
  807. Banerjee, S; Chakrabarty, K, Nonlinear modeling and bifurcations in the boost converter, Ieee Transactions on Power Electronics, vol. 13 no. 2 (December, 1998), pp. 252-260, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  808. Poddar, G; Chakrabarty, K; Banerjee, S, Control of chaos in DC-DC converters, Ieee Transactions on Circuits and Systems I: Fundamental Theory and Applications, vol. 45 no. 6 (December, 1998), pp. 672-676, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  809. Chakrabarty, K; Murray, BT, Design of built-in test generator circuits using width compression, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 17 no. 10 (December, 1998), pp. 1044-1051, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  810. Chakrabarty, K; Murray, BT; Hayes, JP, Optimal zero-aliasing space compaction of test responses, Ieee Transactions on Computers, vol. 47 no. 11 (December, 1998), pp. 1171-1187, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  811. Iyengar, V; Chakrabarty, K; Murray, BT, Built-in self testing of sequential circuits using precomputed test sets, Proceedings of the Ieee Vlsi Test Symposium (December, 1998), pp. 418-423, IEEE Comput. Soc [doi]  [abs].
  812. Chakrabarty, K; Weiss, RA; Sehgal, A; Seery, TAP, Characterization of Ionomer Solutions. 2. Dynamic Light Scattering Studies on Sulfonated Polystyrene Ionomers in a Nonpolar Solvent, Macromolecules, vol. 31 no. 21 (October, 1998), pp. 7390-7397, American Chemical Society (ACS) [Gateway.cgi], [doi] .
  813. Chakrabarty, K; Biswas, R; Nanda, S, On fuzzy metric spaces, Fuzzy Sets and Systems, vol. 99 no. 1 (October, 1998), pp. 111-114, Elsevier BV [Gateway.cgi], [doi] .
  814. Chakrabarty, K; Seery, TAP; Weiss, RA, Characterization of Ionomer Solutions. 1. Phase Behavior and Gelation of Sulfonated Polystyrene Ionomers in Decalin, Macromolecules, vol. 31 no. 21 (October, 1998), pp. 7385-7389, American Chemical Society (ACS) [Gateway.cgi], [doi] .
  815. Chakrabarty, K, Zero-aliasing space compaction using linear compactors with bounded overhead, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 17 no. 5 (May, 1998), pp. 452-457, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  816. Chakrabarty, K, Design of optimal linear space compactors for built-in self test, Conference Record Ieee Instrumentation and Measurement Technology Conference, vol. 1 (January, 1998), pp. 413-418  [abs].
  817. Chakrabarty, K; Rayes, JP, Balanced Boolean functions, Iee Proceedings Computers and Digital Techniques, vol. 145 no. 1 (January, 1998), pp. 52-62, Institution of Engineering and Technology (IET) [doi]  [abs].
  818. Karpovsky, MG; Chakrabarty, K; Levitin, LB, On a new class of codes for identifying vertices in graphs, Ieee Transactions on Information Theory, vol. 44 no. 2 (January, 1998), pp. 599-611, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  819. Chakrabarty, K; Hayes, JP, Zero-aliasing space compaction of test responses using multiple parity signatures, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 6 no. 2 (January, 1998), pp. 309-313, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  820. Iyengar, V; Chakrabarty, K, An efficient finite-state machine implementation of Huffman decoders, Information Processing Letters, vol. 64 no. 6 (December, 1997), pp. 271-275, Elsevier BV [doi]  [abs].
  821. Chakrabarty, K; Hayes, JP, On the quality of accumulator-based compaction of test responses, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 16 no. 8 (December, 1997), pp. 916-922, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  822. Chakrabarty, K; Murray, BT; Liu, J; Zhu, M, Test width compression for built-in self testing, Proceedings International Test Conference (December, 1997), pp. 328-337, Int. Test Conference [doi]  [abs].
  823. Mahajan, AS; Chakrabarty, K; Mishra, TK; Chakrabarty, AS, Nociception, antinociceptive potency of morphine in streptozotocin induced diabetic rats., Indian Journal of Physiology and Pharmacology, vol. 41 no. 4 (October, 1997), pp. 416-420 [10235666]  [abs].
  824. Mahajan, AS; Mishra, TK; Chakrabarty, K; Chakrabarty, AS, Immobilisation stress induced analgesia in diabetic rats., Indian Journal of Physiology and Pharmacology, vol. 41 no. 3 (July, 1997), pp. 275-279 [10232773]  [abs].
  825. Iyengar, V; Chakrabarty, K; Murray, BT, Test set encoding for efficient sequential circuit testing, Conference Record Ieee Instrumentation and Measurement Technology Conference, vol. 2 (January, 1997), pp. 1442-1447, IEEE [doi]  [abs].
  826. Chakrabarty, K; Hayes, JP, Test response compaction using multiplexed parity trees, Ieee Transactions on Computer Aided Design of Integrated Circuits and Systems, vol. 15 no. 11 (December, 1996), pp. 1399-1408, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  827. Chakrabarty, K; Poddar, G; Banerjee, S, Bifurcation behavior of the buck converter, Ieee Transactions on Power Electronics, vol. 11 no. 3 (December, 1996), pp. 439-447 [doi]  [abs].
  828. Chakrabarty, K; Singh, SN, Depletion layer resistance and its effect on I-V characteristics of fully- and partially-illuminated silicon solar cells, Solid State Electronics, vol. 39 no. 4 (April, 1996), pp. 577-581, Elsevier BV [Gateway.cgi], [doi] .
  829. Chakrabarty, K; Hayes, JP, Balance testing and balance-testable design of logic circuits, Journal of Electronic Testing: Theory and Applications (Jetta), vol. 8 no. 1 (January, 1996), pp. 71-86, Springer Nature [doi]  [abs].
  830. Chakrabarty, K; Weiss, RA; Sehgal, A; Seery, TAP, Ionomer solutions in non-polar solvents: Study of phase behavior, and sulfonic acid group aggregation using dynamic light scattering., Abstracts of Papers of the American Chemical Society, vol. 212 (1996), pp. 17-PMSE [Gateway.cgi] .
  831. Iannella, R; Ward, N; Chakrabarty, K; Curtis, C, Key issues in digital library interoperability, Advanced It Tools (1996), pp. 31-38 .
  832. Chakrabarty, K; Murray, BT; Hayes, JP, Optimal space compaction of test responses, Proceedings International Test Conference (December, 1995), pp. 834-843, Int. Test Conference [doi]  [abs].
  833. Krishna, B; Hussain, ME; Chakrabarty, AS; Jain, AK; Chakrabarty, K; Fahim, M, Hypotensive effect of intracerebroventricular injection of norepinephrine and its modulation by alpha and beta adrenergic blockers in conscious rabbits., Indian Journal of Physiology and Pharmacology, vol. 39 no. 4 (October, 1995), pp. 361-368 [8582748]  [abs].
  834. Poddar, G; Chakrabarty, K; Banerjee, S, Control of chaosin the boost converter, Electronics Letters, vol. 31 no. 11 (May, 1995), pp. 841-842, Institution of Engineering and Technology (IET) [doi]  [abs].
  835. Chakrabarty, K; Banerjee, S, Control of chaos in piecewise linear systems with switching nonlinearity, Physics Letters A, vol. 200 no. 2 (April, 1995), pp. 115-120, Elsevier BV [doi]  [abs].
  836. Chakrabarty, K; Hayes, JP, Cumulative Balance Testing of Logic Circuits, Ieee Transactions on Very Large Scale Integration (Vlsi) Systems, vol. 3 no. 1 (January, 1995), pp. 72-83 [doi]  [abs].
  837. Poddar, G; Chakrabarty, K; Banerjee, S, Experimental Control of Chaotic Behavior of Buck Converter, Ieee Transactions on Circuits and Systems I: Fundamental Theory and Applications, vol. 42 no. 8 (January, 1995), pp. 502-504, Institute of Electrical and Electronics Engineers (IEEE) [doi]  [abs].
  838. CHAKRABARTY, K, TRIBAL ISSUES - A NONCONVENTIONAL APPROACH - MAHANTI,N, Indian Journal of Social Work, vol. 56 no. 1 (1995), pp. 113-114 [Gateway.cgi] .
  839. CHAKRABARTY, K; WEISS, RA, PHASE-BEHAVIOR OF IONOMER SOLUTIONS USING NONPOLAR-SOLVENTS, Abstracts of Papers of the American Chemical Society, vol. 209 (1995), pp. 68-PMSE [Gateway.cgi] .
  840. Chakrabarty, K; Hayes, JP, DFBT: a design-for-testability method based on balance testing, Proceedings Design Automation Conference (December, 1994), pp. 351-357  [abs].
  841. Chakrabarty, K; Hayes, JP, Efficient test response compression for multiple-output circuits, Proceedings., International Test Conference (1994), pp. 501-510, Int. Test Conference [mostRecentIssue.jsp], [doi] .
  842. CHAKRABARTY, K; CHAWLA, HM; SURESH, VV, CERIUM(IV) INDUCED OXIDATIVE COUPLING OF SIMPLE PHENOLS IN THE PRESENCE AND ABSENCE OF HYDROGEN-PEROXIDE - A COMPARATIVE-STUDY OF PRODUCT DISTRIBUTION, Indian Journal of Chemistry Section B Organic and Medicinal Chemistry, vol. 32 no. 2 (1993), pp. 266-274 [Gateway.cgi] .
  843. Chakrabarty, K; Hayes, JP, Balance testing of logic circuits, Ftcs 23 the Twenty Third International Symposium on Fault Tolerant Computing (1993), pp. 350-359, IEEE Comput. Soc. Press [mostRecentIssue.jsp], [doi] .
  844. Roychoudhury, S; Chakrabarty, K; Ho, YK; Chakrabarty, AM, Characterization of guanosine diphospho-D-mannose dehydrogenase from Pseudomonas aeruginosa. Structural analysis by limited proteolysis., The Journal of Biological Chemistry, vol. 267 no. 2 (January, 1992), pp. 990-996 [1370473], [doi]  [abs].
  845. CHAKRABARTY, K; CHAWLA, HM; SURESH, VV, REACTION OF CERIUM(IV) AMMONIUM-NITRATE WITH SIMPLE PHENOLS IN A SILICA-GEL MATRIX, Indian Journal of Chemistry Section B Organic and Medicinal Chemistry, vol. 31 no. 7 (1992), pp. 464-466 [Gateway.cgi] .
  846. BURTON, RM; FORSYTH, JD; OBEL, B, ORGANIZATIONAL RESPONSES TO THE NEW BUSINESS CONDITIONS - AN EMPIRICAL PERSPECTIVE - PREFACE, Technovation, vol. 8 no. 1-3 (1988), pp. R7-R7, Springer Netherlands [doi]  [abs].