Center for Biologically Inspired Materials and Material Systems Center for Biologically Inspired Materials and Material Systems
Pratt School of Engineering
Duke University

 HOME > pratt > CBIMMS    Search Help Login pdf version printable version 

Publications of April S. Brown    :chronological  alphabetical  combined listing:

%% Papers Published   
@booklet{Wu09,
   Author = {P. C. Wu and M. Losurdo and T. H. Kim and M. Giangregorio and G. Bruno and H. O. Everitt and A. S.
             Brown},
   Title = {Plasmonic Gallium Nanoparticles on Polar Semiconductors:
             Interplay between Nanoparticle Wetting, Localized Surface
             Plasmon Dynamics, and Interface Charge},
   Journal = {Langmuir},
   Volume = {25},
   Number = {2},
   Pages = {924 -- 930},
   Year = {2009},
   Month = {January},
   ISSN = {0743-7463},
   Abstract = {Ga nanoparticles supported on large band gap semiconductors
             like SiC, GaN, and ZnO are interesting for plasmon-enhanced
             UV-emitting solid-state devices. We investigate the
             influence of the polarity of the SiC, GaN, and ZnO wurtzite
             semiconductors on the wetting of Ga nanoparticles and on the
             resulting surface plasmon resonance (SPR) by exploiting real
             time plasmonic ellipsometry. The interface potential between
             polar semiconductors (SiC, GaN, and ZnO) and plasmonic
             nanoparticles (gallium) is shown to influence nanoparticle
             formation dynamics, geometry, and consequently the SPR
             wavelength. We invoke the Lippman electrowetting framework
             to elucidate the mechanisms controlling nanoparticle
             dynamics and experimentally demonstrate that the charge
             transfer at the Ga nanoparticle/polar semiconductor
             interface is an intrinsic method for tailoring the
             nanoparticle plasmon resonance. Therefore, the present data
             demonstrate that for supported nanoparticles, surface and
             interface piezoelectric charge of polar semiconductors also
             affects SPR along with the well-known effect of the media
             refractive index.},
   Key = {Wu09}
}

@booklet{Garcia08,
   Author = {M. A. Garcia and M. Losurdo and S. D. Wolter and W. V.
             Lampert and J. Bonaventura and G. Bruno and C. Yi and A. S.
             Brown},
   Title = {Comparison of Functionalized III-V Semiconductor Response
             for Nitric Oxide},
   Journal = {Sensor Letters},
   Volume = {6},
   Number = {4},
   Pages = {627 -- 634},
   Year = {2008},
   Month = {August},
   ISSN = {1546-198X},
   Abstract = {Several III-V materials systems, consisting of InAs, InP,
             and GaN, were chemically functionalized, characterized, and
             evaluated for Nitric Oxide (NO) sensor research. The hemin
             porphyrin has been a particularly successful NO detection
             functional group for carbon-based material systems for
             sensors. The unique sensing modalities inherent in an InAs
             surface accumulation layer and the AlGaN/GaN surface
             polarization charge coupling motivated enhanced chemical
             sensor exploration. NO's diverse roles in defense,
             biological, and environmental fields create interest in the
             development of responsive and selective solid state sensors.
             In a controlled gaseous environment, functionalized and
             pre-functionalized III-V semiconductor materials were probed
             for changes in resistivity during exposure to varying
             concentrations of NO, NO2, O-2 and Ar. X-ray photoelectron
             spectroscopy was used to characterize sample
             functionalization and analyte influences on the valence band
             maxima in order to better understand the charge transduction
             mechanisms. The results of the trials were compared and
             analyzed for optimization and materials recommendations.},
   Key = {Garcia08}
}

@booklet{Losurdo07,
   Author = {M. Losurdo and M. M. Giangregorio and G. Bruno and T. H. Kim and S. Choi and A. S. Brown and G. Pettinari and M. Capizzi and A. Polimeni},
   Title = {Behavior of hydrogen in InN investigated in real time
             exploiting spectroscopic ellipsometry},
   Journal = {Applied Physics Letters},
   Volume = {91},
   Number = {8},
   Year = {2007},
   Month = {August},
   ISSN = {0003-6951},
   Abstract = {The interaction of hydrogen with InN epitaxial films has
             been investigated by exposing InN to a remote hydrogen
             plasma and monitoring changes in the InN optical properties
             in real time via spectroscopic ellipsometry. Atomic hydrogen
             reacts swith InN causing nitrogen depletion with formation
             of indium clusters at the sample surface. After terminating
             the hydrogenation process, the hydrogen remains stable in
             the InN host lattice at T $<$ 200 degrees C, while at higher
             temperatures it partially desorbs as NHx and InHx
             (x=1-3).},
   Key = {Losurdo07}
}

@booklet{Mckay07,
   Author = {K. S. Mckay and F. P. Lu and J. Kim and C. H. Yi and A. S.
             Brown and A. R. Hawkins},
   Title = {Band discontinuity measurements of the wafer bonded
             InGaAs/Si heterojunction},
   Journal = {Applied Physics Letters},
   Volume = {90},
   Number = {22},
   Year = {2007},
   Month = {May},
   ISSN = {0003-6951},
   Abstract = {p-type InGaAs/Si heterojunctions were fabricated through a
             wafer fusion bonding process. The relative band alignment
             between the two materials at the heterointerface was
             determined using current-voltage (I-V) measurements and
             applying thermionic emission-diffusion theory. The valence
             and conduction band discontinuities for the InGaAs/Si
             interface were determined to be 0.48 and -0.1 eV,
             respectively, indicating a type-II band alignment. (C) 2007
             American Institute of Physics.},
   Key = {Mckay07}
}

@booklet{Uhlrich07,
   Author = {J. Uhlrich and M. Garcia and S. Wolter and A. S. Brown and T. F. Kuech},
   Title = {Interfacial chemistry and energy band line-up of pentacene
             with the GaN (0001) surface},
   Journal = {Journal Of Crystal Growth},
   Volume = {300},
   Number = {1},
   Pages = {204 -- 211},
   Year = {2007},
   Month = {March},
   ISSN = {0022-0248},
   Abstract = {The chemical nature of the GaN surface combined with the
             bulk and surface electronic structure opens new potential
             application areas for this material. The nature of specific
             organic-GaN was developed for two cases in which the surface
             electronic structure of such interfaces was developed and
             utilized. The band alignment between n-GaN and pentacene was
             determined for surfaces prepared through reaction with HCl.
             The energy band offset was estimated through combined X-ray
             photoelectron spectroscopy and ultraviolet photoemission
             measurements. XPS measurements indicated that there was no
             interfacial chemical reaction. The measured valence band
             offset between the n-GaN and the vapor-deposited pentacene
             was estimated to be greater than 2 eV providing a favorable
             band-offset for hole-injection from the GaN layer into
             pentacene. The surface of a AlGaN/GaN heterojunction field
             effect transistor (HFET) structures was also functionalized
             by an adsorbed hemin layer and was shown to be sensitive to
             the presence of reactive species such as NO. The HFET
             structure provides enhanced sensitivity to changes in the
             surface electric field, altered through the adsorption of
             chemically active species either directly on the surface or
             through the interactions with surface functionalization. (c)
             2006 Elsevier B.V. All rights reserved.},
   Key = {Uhlrich07}
}

@booklet{Wu07a,
   Author = {P. C. Wu and T. H. Kim and A. S. Brown and M. Losurdo and G.
             Bruno and H. O. Everitt},
   Title = {Real-time plasmon resonance tuning of liquid Ga
             nanoparticles by in situ spectroscopic ellipsometry},
   Journal = {Applied Physics Letters},
   Volume = {90},
   Number = {10},
   Year = {2007},
   Month = {March},
   ISSN = {0003-6951},
   Abstract = {Liquid Ga nanoparticles have been deposited on sapphire
             substrates at room temperature. The optical evolution of Ga
             nanoparticle surface plasmon resonance during deposition has
             been characterized by in situ real-time spectroscopic
             ellipsometry to control and tune the plasmon resonance
             photon energy. The existence of both longitudinal and
             transverse modes for spheroidal Ga nanoparticles supported
             on a sapphire substrate is demonstrated and the dependence
             of the longitudinal and transverse plasmon energies on
             particle size is discussed. Stability of the Ga surface
             plasmon resonance to air exposure and high temperature is
             also demonstrated. (c) 2007 American Institute of
             Physics.},
   Key = {Wu07a}
}

@article{070910462413,
   Author = {Uhlrich, J. and Garcia, M. and Wolter, S. and Brown, A.S. and Kuech, T.F.},
   Title = {Interfacial chemistry and energy band line-up of pentacene
             with the GaN (0 0 0 1) surface},
   Journal = {Journal of Crystal Growth},
   Volume = {300},
   Number = {1},
   Pages = {204 - 211},
   Year = {2007},
   url = {http://dx.doi.org/10.1016/j.jcrysgro.2006.11.035},
   Keywords = {Band structure;Gallium nitride;Interfaces
             (materials);Junction gate field effect transistors;Metallorganic
             vapor phase epitaxy;Reaction kinetics;Surface chemistry;X
             ray photoelectron spectroscopy;},
   Abstract = {The chemical nature of the GaN surface combined with the
             bulk and surface electronic structure opens new potential
             application areas for this material. The nature of specific
             organic-GaN was developed for two cases in which the surface
             electronic structure of such interfaces was developed and
             utilized. The band alignment between n-GaN and pentacene was
             determined for surfaces prepared through reaction with HCl.
             The energy band offset was estimated through combined X-ray
             photoelectron spectroscopy and ultraviolet photoemission
             measurements. XPS measurements indicated that there was no
             interfacial chemical reaction. The measured valence band
             offset between the n-GaN and the vapor-deposited pentacene
             was estimated to be greater than 2 eV providing a favorable
             band-offset for hole-injection from the GaN layer into
             pentacene. The surface of a AlGaN/GaN heterojunction field
             effect transistor (HFET) structures was also functionalized
             by an adsorbed hemin layer and was shown to be sensitive to
             the presence of reactive species such as NO. The HFET
             structure provides enhanced sensitivity to changes in the
             surface electric field, altered through the adsorption of
             chemically active species either directly on the surface or
             through the interactions with surface functionalization.
             &copy; 2006 Elsevier B.V. All rights reserved.},
   Key = {070910462413}
}

@article{071110490609,
   Author = {Wu, Pae C and Kim, Tong-Ho and Brown, April S. and Losurdo,
             Maria and Bruno, Giovanni and Everitt, Henry
             O.},
   Title = {Real-time plasmon resonance tuning of liquid Ga
             nanoparticles by in situ spectroscopic ellipsometry},
   Journal = {Applied Physics Letters},
   Volume = {90},
   Number = {10},
   Pages = {103119 -},
   Year = {2007},
   url = {http://dx.doi.org/10.1063/1.2712508},
   Keywords = {Gallium compounds;Particle size;Real time
             systems;Sapphire;Spectroscopic ellipsometry;Surface plasmon
             resonance;},
   Abstract = {Liquid Ga nanoparticles have been deposited on sapphire
             substrates at room temperature. The optical evolution of Ga
             nanoparticle surface plasmon resonance during deposition has
             been characterized by in situ real-time spectroscopic
             ellipsometry to control and tune the plasmon resonance
             photon energy. The existence of both longitudinal and
             transverse modes for spheroidal Ga nanoparticles supported
             on a sapphire substrate is demonstrated and the dependence
             of the longitudinal and transverse plasmon energies on
             particle size is discussed. Stability of the Ga surface
             plasmon resonance to air exposure and high temperature is
             also demonstrated. &copy; 2007 American Institute of
             Physics.},
   Key = {071110490609}
}

@booklet{Yoon07,
   Author = {I. Yoon and C. Yi and T. Kirn and A. S. Brown and A.
             Seabaugh},
   Title = {Effect of surface pretreatment and substrate orientation on
             the characteristics of InAs quantum dots on Si and SiO2
             substrates},
   Journal = {Journal Of Vacuum Science \& Technology B},
   Volume = {25},
   Number = {3},
   Pages = {945 -- 947},
   Year = {2007},
   ISSN = {1071-1023},
   Abstract = {The surface m orphology of InAs quantum dots (QDs) on
             undoped Si (100) shows a strong dependency on surface
             pretreatments, with as much as 30\% difference in island
             density with different size distributions. Lowering the
             V/III fluxes ratio (5 8: 1 to 10: 1) effectively suppresses
             the average height (47\%) and increases density (75\%) of
             QDs. While changing the growth temperature (220-300 degrees
             C) increased the average height by 62\% on chemically etched
             Si surfaces, nucleation on the SiO2 surface was less
             sensitive to surface preparation. The authors alsd observe
             that the specific substrate orientation and annealing at 500
             degrees C do not significantly impact the morphology of QDs.
             (c) 2007 American Vacuum Society.},
   Key = {Yoon07}
}

@booklet{Wu07,
   Author = {P. C. Wu and M. Losurdo and T. H. Kim and O. Choi and G.
             Bruno and A. S. Brown},
   Title = {In situ spectroscopic ellipsometry to monitor surface
             plasmon resonant group-III metals deposited by molecular
             beam epitaxy},
   Journal = {Journal Of Vacuum Science \& Technology B},
   Volume = {25},
   Number = {3},
   Pages = {1019 -- 1023},
   Year = {2007},
   ISSN = {1071-1023},
   Abstract = {The evolution of the surface plasmon resonance of Al, Ga,
             and In deposited by molecular beam epitaxy on GaN surfaces
             was monitored in real-time using spectroscopic ellipsometry.
             The correlation between the metal plasmon resonance modes,
             the particle size, and the growth mode is addressed. Gaand
             In deposited on GaN substrates form nanoparticles while the
             Al is shown to form a nearly coalesced thin film. The
             plasmon resonance of the Ga and In nanoparticles redshift
             with increasing average particle size while the
             pseudodielectric function of Al approaches that of a Drude
             metal. (c) 2007 American Vacuum Society.},
   Key = {Wu07}
}

@booklet{Bruno06,
   Author = {G. Bruno and M. Losurdo and M. M. Giangregorio and P.
             Capezzuto and A. S. Brown and T. H. Kim and S.
             Choi},
   Title = {Real time ellipsometry for monitoring plasma-assisted
             epitaxial growth of GaN},
   Journal = {Applied Surface Science},
   Volume = {253},
   Number = {1},
   Pages = {219 -- 223},
   Year = {2006},
   Month = {October},
   ISSN = {0169-4332},
   Abstract = {GaN is grown on Si-face 4H-SiC(001) substrates using remote
             plasma-assisted methods including metalorganic chemical
             vapour deposition (RP-MOCVD) and molecular beam epitaxy
             (MBE). Real time spectroscopic ellipsometry is used for
             monitoring all the steps of substrate pre-treatments and the
             heteroepitaxial growth of GaN on SiC. Our characterization
             emphasis is on understanding the nucleation mechanism and
             the GaN growth mode, which depend on the SiC surface
             preparation. (c) 2006 Elsevier B.V. All rights
             reserved.},
   Key = {Bruno06}
}

@booklet{Morse06,
   Author = {M. Morse and P. Wu and S. Choi and T. H. Kim and A. S. Brown and M. Losurdo and G. Bruno},
   Title = {Structural and optical characterization of GaN
             heteroepitaxial films on SiC substrates},
   Journal = {Applied Surface Science},
   Volume = {253},
   Number = {1},
   Pages = {232 -- 235},
   Year = {2006},
   Month = {October},
   ISSN = {0169-4332},
   Abstract = {We have estimated the threading dislocation density and type
             via X-ray diffraction and Williamson-Hall analysis to elicit
             qualitative information directly related to the electrical
             and optical quality of GaN epitaxial layers grown by PAMBE
             on 4H- and 6H-SiC substrates. The substrate surface
             preparation and buffer choice, specifically: Ga flashing for
             SiC oxide removal, controlled nitridation of SiC, and use of
             AlN buffer layers all impact the resultant screw dislocation
             density, but do not significantly influence the edge
             dislocation density. We show that modification of the
             substrate surface strongly affects the screw dislocation
             density, presumably due to impact on nucleation during the
             initial stages of heteroepitaxy. (c) 2006 Published by
             Elsevier B.V.},
   Key = {Morse06}
}

@booklet{Yi06,
   Author = {C. H. Yi and T. H. Kim and A. S. Brown},
   Title = {InAs-based p-n homojunction diodes: Doping effects and
             impact of doping on device parameters},
   Journal = {Journal Of Electronic Materials},
   Volume = {35},
   Number = {9},
   Pages = {1712 -- 1714},
   Year = {2006},
   Month = {September},
   ISSN = {0361-5235},
   Abstract = {InAs heterojunction bipolar transistors (HBTs) are promising
             candidates for low power and high frequency (THz) device
             applications due to their small bandgap, high electron
             mobility, and high saturation drift velocity. However,
             doping limits such as the trade-off between desired low
             intentional n-type concentrations and unintentional doping,
             and the realization of high p-type concentrations, must
             still be considered in device design and synthesis. In order
             to observe the impact of intentional and unintentional
             n-type doping on diode electrical properties, InAs-based
             homojunction diodes have been grown on InAs substrates by
             solid-source molecular beam epitaxy (SSMBE) and were
             subsequently fabricated and characterized.},
   Key = {Yi06}
}

@booklet{Triplett06,
   Author = {G. E. Triplett and A. S. Brown and G. S.
             May},
   Title = {Strain monitoring in InAs-AlxGa1-xAsySb1-y structures grown
             by molecular beam epitaxy},
   Journal = {Applied Physics Letters},
   Volume = {89},
   Number = {3},
   Pages = {345 -- 349},
   Year = {2006},
   Month = {July},
   ISSN = {0003-6951},
   Abstract = {A study of strained InAs-AlxGa1-xAsySb1-y quantum well
             structures produced by molecular beam epitaxy is presented.
             The ability to manipulate quantum well strain by way of the
             AlxGa1-xAsySb1-y buffer is examined using statistical
             experimental design. Results show that anion composition in
             the buffer (with a target lattice constant, a=6.12 angstrom)
             varies by as much as 3\% in the 450-500 degrees C growth
             temperature range. The data reveal interrelationships
             between strain, structural characteristics, and
             conductivity. Results demonstrate that these relationships
             exist and can be modeled empirically and exploited for the
             design of near-infrared optoelectronic devices. (c) 2006
             American Institute of Physics.},
   Key = {Triplett06}
}

@booklet{Losurdo06,
   Author = {M. Losurdo and P. Capezzuto and G. Bruno and A. S. Brown and T. Brown and G. May},
   Title = {Fundamental reactions controlling anion exchange during
             mixed anion heterojunction formation: Chemistry of As-for-Sb
             and Sb-for-As exchange reactions},
   Journal = {Journal Of Applied Physics},
   Volume = {100},
   Number = {1},
   Year = {2006},
   Month = {July},
   ISSN = {0021-8979},
   Abstract = {As-for-Sb and Sb-for-As anion exchange reactions have been
             investigated by the exposure of GaSb surfaces to As-2 and
             As-4 species and by the exposure of GaAs to Sb-2,
             respectively. The effect of surface temperature, anion soak
             time, and anion species (either As-2 or As-4) on the
             chemistry governing the anion exchange reactions during
             GaAsySb1-y/GaSb and GaSbyAs1-y/GaAs heterostructure
             formation by molecular beam epitaxy is examined. It is found
             that when GaSb surfaces are exposed to arsenic, the anion
             exchange reaction competes with the formation of
             isoelectronic compounds, AsSby, which form clusters
             precipitating in the GaAsySb1-y/GaSb heterostructures. The
             relative amount of GaAs and AsSby depends on the surface
             temperature, the As soak time, and on the As species, i.e.,
             As-2 or As-4. We observe specific process conditions that
             minimize AsSby formation, yielding more abrupt
             heterojunction interfaces. In the case of the Sb-2/GaAs
             system, the Sb-for-As anion exchange does not occur to a
             significant degree, but surface segregation of antimony is
             found. A chemical model for the As-for-Sb anion exchange
             reaction is proposed. (c) 2006 American Institute of
             Physics.},
   Key = {Losurdo06}
}

@booklet{Kim06,
   Author = {T. H. Kim and S. Choi and A. S. Brown and M. Losurdo and G.
             Bruno},
   Title = {Impact of 4H- and 6H-SiC(0001) nitridation on Ga wetting
             layer development and GaN growth by molecular beam
             epitaxy},
   Journal = {Applied Physics Letters},
   Volume = {89},
   Number = {2},
   Year = {2006},
   Month = {July},
   ISSN = {0003-6951},
   Abstract = {GaN epitaxial layers have been grown by plasma-assisted
             molecular beam epitaxy on Si-face 4H- and 6H-SiC(0001)(Si)
             substrates. The impact of the SiC surface preparation and
             oxide removal achieved via a Ga flash-off process followed
             by nitridation on the structure and properties of GaN
             epitaxial layers is articulated. A correlation among the SiC
             surface nitridation conditions, the Ga wetting layer
             development, the nucleation layer, and GaN crystalline
             properties is revealed. (c) 2006 American Institute of
             Physics.},
   Key = {Kim06}
}

@booklet{Brown06,
   Author = {A. S. Brown and M. Losurdo and P. Capezzuto and G. Bruno and T. Brown and G. May},
   Title = {Fundamental reactions controlling anion exchange during
             mixed anion heterojunction formation: Chemistry and kinetics
             of P-for-As exchange reaction},
   Journal = {Journal Of Applied Physics},
   Volume = {99},
   Number = {9},
   Year = {2006},
   Month = {May},
   ISSN = {0021-8979},
   Abstract = {The fundamental chemical and kinetic surface processes
             governing the P-for-As exchange reaction during epitaxial
             layer synthesis are investigated. Exposure of a GaAs surface
             to phosphorus molecular beams (P-2) is carried out to create
             superlattice structures realized by surface reactions. The
             impact of the GaAs surface reconstruction, the P-soak time,
             and the surface temperature on the extent of intermixing and
             on the mechanism governing the anion exchange has been
             studied using x-ray diffraction, spectroscopic ellipsometry,
             x-ray photoelectron spectroscopy, and atomic force
             microscopy. It is found that As-rich GaAs surface
             reconstructions inhibit P-for-As exchange. The extent of the
             anion exchange increases with temperature. Furthermore, the
             P-for-As exchange is not controlled by P diffusion into the
             GaAs. We propose a chemical model that includes P
             chemisorption and indiffusion, and the competition between
             P-for-As anion exchange and the formation of AsP
             isoelectronic compounds. (C) 2006 American Institute of
             Physics.},
   Key = {Brown06}
}

@booklet{Triplett06a,
   Author = {G. E. Triplett and A. S. Brown and G. S.
             May},
   Title = {Interrelationships in the electronic and structural
             characteristics of strained InAs quantum well
             structures},
   Journal = {Journal Of Crystal Growth},
   Volume = {286},
   Number = {2},
   Pages = {345 -- 349},
   Year = {2006},
   Month = {January},
   ISSN = {0022-0248},
   Abstract = {Indium-arsenide (InAs)-based devices are promising for next
             generation electronic and optoelectronic applications.
             Improving these devices requires greater control of the InAs
             quantum well properties, which in part, are related to the
             strain induced from the substrate material, buffer layer
             thickness, barrier composition, doping, and the
             heterointerface. This report focuses on the latter and
             includes X-ray diffraction and Hall results from a
             statistical experimental design (SED), which focused on the
             growth sequence at the heterointerface and growth throughout
             the channel, and illuminates correlations between structural
             variations and the electronic properties in strained InAs
             quantum well structures produced by molecular beam epitaxy
             (MBE). This data suggest that as more antimony from the
             sublayer is incorporated in the InAs layer, a product of
             anion exchange between antimony surface atoms and ensuing
             arsenic flux atoms, the strain states vary along with InAs
             channel mobility. (c) 2005 Elsevier B.V. All rights
             reserved.},
   Key = {Triplett06a}
}

@article{063110037506,
   Author = {Brown, April S. and Kim, Tong-Ho and Choi, Soojeong and Wu,
             Pae and Morse, Michael and Losurdo, Maria and Giangregorio,
             Maria M. and Bruno, Giovanni and Moto, Akihiro},
   Title = {Growth of InN on 6H-SiC by plasma assisted molecular beam
             epitaxy},
   Journal = {Physica Status Solidi C: Current Topics in Solid State
             Physics},
   Volume = {3},
   Pages = {1531 - 1535},
   Address = {Bremen, Germany},
   Year = {2006},
   url = {http://dx.doi.org/10.1002/pssc.200565150},
   Keywords = {Semiconducting silicon compounds;Molecular beam
             epitaxy;Epitaxial growth;Annealing;Ellipsometry;Electric
             properties;Optical properties;},
   Abstract = {We have investigated the growth of InN films by plasma
             assisted molecular beam epitaxy on the Si-face of
             6H-SiC(0001). Growth is performed under In-rich conditions
             using a two-step process consisting of the deposition of a
             thin, low-temperature 350&deg;C InN buffer layer, followed
             by the subsequent deposition of the InN epitaxial layer at
             450&deg;C. The effect of buffer annealing is investigated.
             The structural and optical evolution of the growing layer
             has been monitored in real time using RHEED and
             spectroscopic ellipsometry. Structural, morphological,
             electrical and optic properties are discussed. &copy; 2006
             WILEY-VCH Verlag GmbH and Co. KGaA.},
   Key = {063110037506}
}

@article{06229911078,
   Author = {Brown, April S. and Losurdo, Maria and Capezzuto, Pio and Bruno, Giovanni and Brown, Terence and May,
             Gary},
   Title = {Fundamental reactions controlling anion exchange during
             mixed anion heterojunction formation: Chemistry and kinetics
             of P-for-As exchange reaction},
   Journal = {Journal of Applied Physics},
   Volume = {99},
   Number = {9},
   Pages = {093510 -},
   Year = {2006},
   url = {http://dx.doi.org/10.1063/1.2194126},
   Keywords = {Reaction kinetics;Surface properties;Epitaxial
             growth;Superlattices;Semiconducting gallium compounds;X ray
             diffraction analysis;Ellipsometry;X ray photoelectron
             spectroscopy;Ion exchange;Chemisorption;},
   Abstract = {The fundamental chemical and kinetic surface processes
             governing the P-for-As exchange reaction during epitaxial
             layer synthesis are investigated. Exposure of a GaAs surface
             to phosphorus molecular beams (P2) is carried out to create
             superlattice structures realized by surface reactions. The
             impact of the GaAs surface reconstruction, the P-soak time,
             and the surface temperature on the extent of intermixing and
             on the mechanism governing the anion exchange has been
             studied using x-ray diffraction, spectroscopic ellipsometry,
             x-ray photoelectron spectroscopy, and atomic force
             microscopy. It is found that As-rich GaAs surface
             reconstructions inhibit P-for-As exchange. The extent of the
             anion exchange increases with temperature. Furthermore, the
             P-for-As exchange is not controlled by P diffusion into the
             GaAs. We propose a chemical model that includes P
             chemisorption and indiffusion, and the competition between
             P-for-As anion exchange and the formation of AsP
             isoelectronic compounds. &copy; 2006 American Institute of
             Physics.},
   Key = {06229911078}
}

@article{06019623333,
   Author = {Triplett, Gregory Edward and Brown, April S. and May, Gary
             S.},
   Title = {Interrelationships in the electronic and structural
             characteristics of strained InAs quantum well
             structures},
   Journal = {Journal of Crystal Growth},
   Volume = {286},
   Number = {2},
   Pages = {345 - 349},
   Year = {2006},
   url = {http://dx.doi.org/10.1016/j.jcrysgro.2005.10.098},
   Keywords = {Semiconducting indium compounds;Optoelectronic
             devices;Strain;Semiconductor doping;Hall effect;X ray
             diffraction;Ion exchange;Molecular beam epitaxy;},
   Abstract = {Indium-arsenide (InAs)-based devices are promising for next
             generation electronic and optoelectronic applications.
             Improving these devices requires greater control of the InAs
             quantum well properties, which in part, are related to the
             strain induced from the substrate material, buffer layer
             thickness, barrier composition, doping, and the
             heterointerface. This report focuses on the latter and
             includes X-ray diffraction and Hall results from a
             statistical experimental design (SED), which focused on the
             growth sequence at the heterointerface and growth throughout
             the channel, and illuminates correlations between structural
             variations and the electronic properties in strained InAs
             quantum well structures produced by molecular beam epitaxy
             (MBE). This data suggest that as more antimony from the
             sublayer is incorporated in the InAs layer, a product of
             anion exchange between antimony surface atoms and ensuing
             arsenic flux atoms, the strain states vary along with InAs
             channel mobility. &copy; 2005 Elsevier B.V. All rights
             reserved.},
   Key = {06019623333}
}

@article{063010023324,
   Author = {Kim, Tong-Ho and Choi, Soojeong and Brown, April S. and Losurdo, Maria and Bruno, Giovanni},
   Title = {Impact of 4H- And 6H-SiC(0001) nitridation on Ga wetting
             layer development and GaN growth by molecular beam
             epitaxy},
   Journal = {Applied Physics Letters},
   Volume = {89},
   Number = {2},
   Pages = {021916 -},
   Year = {2006},
   url = {http://dx.doi.org/10.1063/1.2220007},
   Keywords = {Gallium nitride;Epitaxial growth;Oxides;Nucleation;Molecular
             beam epitaxy;},
   Abstract = {GaN epitaxial layers have been grown by plasma-assisted
             molecular beam epitaxy on Si-face 4H-and
             6H-SiC(0001)<sub>Si</sub> substrates. The impact of the SiC
             surface preparation and oxide removal achieved via a Ga
             flash-off process followed by nitridation on the structure
             and properties of GaN epitaxial layers is articulated. A
             correlation among the SiC surface nitridation conditions,
             the Ga wetting layer development, the nucleation layer, and
             GaN crystalline properties is revealed. &copy; 2006 American
             Institute of Physics.},
   Key = {063010023324}
}

@article{064510222023,
   Author = {Bruno, Giovanni and Losurdo, Maria and Giangregorio, Maria
             M. and Capezzuto, Pio and Brown, April S. and Kim, Tong-Ho and Choi, Soojeong},
   Title = {Real time ellipsometry for monitoring plasma-assisted
             epitaxial growth of GaN},
   Journal = {Applied Surface Science},
   Volume = {253},
   Number = {1 SPEC ISS},
   Pages = {219 - 223},
   Year = {2006},
   url = {http://dx.doi.org/10.1016/j.apsusc.2006.05.129},
   Keywords = {Ellipsometry;Epitaxial growth;Silicon carbide;Plasma
             applications;Metallorganic chemical vapor
             deposition;Nucleation;},
   Abstract = {GaN is grown on Si-face 4H-SiC(0 0 0 1) substrates using
             remote plasma-assisted methods including metalorganic
             chemical vapour deposition (RP-MOCVD) and molecular beam
             epitaxy (MBE). Real time spectroscopic ellipsometry is used
             for monitoring all the steps of substrate pre-treatments and
             the heteroepitaxial growth of GaN on SiC. Our
             characterization emphasis is on understanding the nucleation
             mechanism and the GaN growth mode, which depend on the SiC
             surface preparation. &copy; 2006 Elsevier B.V. All rights
             reserved.},
   Key = {064510222023}
}

@article{064510222017,
   Author = {Morse, M. and Wu, P. and Choi, S. and Kim, T.H. and Brown,
             A.S. and Losurdo, M. and Bruno, G.},
   Title = {Structural and optical characterization of GaN
             heteroepitaxial films on SiC substrates},
   Journal = {Applied Surface Science},
   Volume = {253},
   Number = {1 SPEC ISS},
   Pages = {232 - 235},
   Year = {2006},
   url = {http://dx.doi.org/10.1016/j.apsusc.2006.05.097},
   Keywords = {Gallium nitride;Silicon carbide;Optical properties;X ray
             diffraction;Dislocations (crystals);Nucleation;},
   Abstract = {We have estimated the threading dislocation density and type
             via X-ray diffraction and Williamson-Hall analysis to elicit
             qualitative information directly related to the electrical
             and optical quality of GaN epitaxial layers grown by PAMBE
             on 4H- and 6H-SiC substrates. The substrate surface
             preparation and buffer choice, specifically: Ga flashing for
             SiC oxide removal, controlled nitridation of SiC, and use of
             AlN buffer layers all impact the resultant screw dislocation
             density, but do not significantly influence the edge
             dislocation density. We show that modification of the
             substrate surface strongly affects the screw dislocation
             density, presumably due to impact on nucleation during the
             initial stages of heteroepitaxy. &copy; 2006.},
   Key = {064510222017}
}

@article{063010033806,
   Author = {Triplett, Gregory E. and Brown, April S. and May, Gary
             S.},
   Title = {Strain monitoring in InAs-AlxGa1-xAS
             ySb1-y structures grown by molecular
             beam epitaxy},
   Journal = {Applied Physics Letters},
   Volume = {89},
   Number = {3},
   Pages = {032106 -},
   Year = {2006},
   url = {http://dx.doi.org/10.1063/1.2226998},
   Keywords = {Semiconductor quantum wells;Molecular beam
             epitaxy;Statistical methods;Negative ions;Strain;Optoelectronic
             devices;},
   Abstract = {A study of strained InAs-Al<sub>x</sub>Ga<sub>1-x</sub>As
             <sub>y</sub>Sb<sub>1-y</sub> quantum well structures
             produced by molecular beam epitaxy is presented. The ability
             to manipulate quantum well strain by way of the
             Al<sub>x</sub>Ga<sub>1-x</sub>As<sub>y</sub>Sb<sub>1-y</sub>
             buffer is examined using statistical experimental design.
             Results show that anion composition in the buffer (with a
             target lattice constant, a=6.12 A) varies by as much as 3%
             in the 450-500&deg;C growth temperature range. The data
             reveal interrelationships between strain, structural
             characteristics, and conductivity. Results demonstrate that
             these relationships exist and can be modeled empirically and
             exploited for the design of near-infrared optoelectronic
             devices. &copy; 2006 American Institute of
             Physics.},
   Key = {063010033806}
}

@article{064110158746,
   Author = {Yi, Changhyun and Kim, Tong-Ho and Brown, April
             S.},
   Title = {InAs-based p-n homojunction diodes: Doping effects and
             impact of doping on device parameters},
   Journal = {Journal of Electronic Materials},
   Volume = {35},
   Number = {9},
   Pages = {1712 - 1714},
   Year = {2006},
   Keywords = {Semiconducting indium compounds;Semiconductor
             doping;Heterojunction bipolar transistors;Electron
             mobility;Product design;Electric properties;Molecular beam
             epitaxy;},
   Abstract = {InAs heterojunction bipolar transistors (HBTs) are promising
             candidates for low power and high frequency (THz) device
             applications due to their small bandgap, high electron
             mobility, and high saturation drift velocity. However,
             doping limits such as the trade-off between desired low
             intentional n-type concentrations and unintentional doping,
             and the realization of high p-type concentrations, must
             still be considered in device design and synthesis. In order
             to observe the impact of intentional and unintentional
             n-type doping on diode electrical properties, InAs-based
             homojunction diodes have been grown on InAs substrates by
             solid-source molecular beam epitaxy (SSMBE) and were
             subsequently fabricated and characterized.},
   Key = {064110158746}
}

@article{05209101122,
   Author = {Losurdo, Maria and Giangregorio, Maria M. and Capezzuto, Pio and Bruno, Giovanni and Brown, April S. and Kim, Tong-Ho and Yi, Changhyun},
   Title = {Modification of 4H-SiC and 6H-SiC(0001)Si
             surfaces through the interaction with atomic hydrogen and
             nitrogen},
   Journal = {Journal of Electronic Materials},
   Volume = {34},
   Number = {4},
   Pages = {457 - 465},
   Year = {2005},
   Keywords = {Surface chemistry;Hydrogen;Nitrogen;Hydrogenation;Plasma
             applications;Reaction kinetics;X ray photoelectron
             spectroscopy;Atomic force microscopy;Thermal
             effects;Passivation;Crystal orientation;Energy gap;Epitaxial
             growth;Geometry;},
   Abstract = {The interaction of 4H-SiC(0001)<sub>Si</sub> and
             6H-SiC(0001)<sub>Si</sub> surfaces with atomic hydrogen and
             atomic nitrogen produced by remote radio-frequency plasmas
             is investigated. The kinetics of the surface modifications
             is monitored in real time using ellipsometry, while chemical
             modifications of the surface are characterized using x-ray
             photoelectron spectroscopy (XPS). Film morphological
             properties are assessed with atomic force microscopy (AFM).
             A two-stage sub-strate preparation procedure is described
             that effectively removes oxygen from the SiC surface at low
             (200&deg;C) temperature. In the first step, the SiC surface
             is etched with an HCl/HF acid solution as an alternative to
             the conventional HF(1%)-H<sub>2</sub>O solution. The HCl/HF
             etch provides effective hydrogen passivation of the SiC
             surface. In the second step, the SiC surface is exposed to
             atomic hydrogen that selectively interacts with residual
             oxygen. In addition, the temperature dependence of the
             nitridation of SiC surfaces has also been investigated. It
             is found that interaction of SiC surfaces with atomic
             hydrogen at 200&deg;C provides clean, smooth, and terraced
             surfaces suitable for epitaxial growth. In contrast, SiC
             surface exposure at high temperature (750&deg;C) to atomic
             hydrogen and nitrogen results in very rough and disordered
             Si-rich surfaces. Finally, we find that the 4H-SiC surface
             is more reactive than the 6H-SiC surface to both species
             studied, independent of temperature. Surface geometry and
             electronic factors responsible for the observed reactivities
             are discussed.},
   Key = {05209101122}
}

@article{05479486792,
   Author = {Brown, A.S. and Losurdo, M. and Kim, T.H. and Giangregorio,
             M.M. and Choi, S. and Morse, M. and Wu, P. and Capezzuto, P. and Bruno, G.},
   Title = {The impact of SiC substrate treatment on the heteroepitaxial
             growth of GaN by plasma assisted MBE},
   Journal = {Crystal Research and Technology},
   Volume = {40},
   Number = {10-11},
   Pages = {997 - 1002},
   Year = {2005},
   url = {http://dx.doi.org/10.1002/crat.200410475},
   Keywords = {Epitaxial growth;Semiconducting gallium arsenide;Nitrides;Nucleation;X
             ray photoelectron spectroscopy;Atomic force
             microscopy;Spectroscopic analysis;Surface
             treatment;},
   Abstract = {We report on the impact of the preparation of the Si-face
             4H-SiC(0001) <sub>Si</sub> substrate using a Ga flash-off
             process on the epitaxial growth of GaN by plasma-assisted
             molecular beam epitaxy. The nucleation, as well as the
             resultant structural and morphological properties of GaN
             grown directly on 4H-SiC(0001)<sub>Si</sub> are strongly
             influenced by the chemical and morphological modifications
             of the SiC surface induced by the Ga flash-off process.
             Herein we describe the impact of the specific concentration
             of Ga incident on the surface (quantified in terms of
             monolayer (ML) coverage): of 0.5 ML, 1ML and 2ML. The
             residual oxygen at the SiC surface, unintentional SiC
             nitridation and the formation of cubic GaN grains during the
             initial nucleation stage, are all reduced when a 2 ML Ga
             flash is used. All of the above factors result in structural
             improvement of the GaN epitaxial layers. The correlation
             between the SiC surface modification, the initial nucleation
             stage, and the GaN epitaxial layer structural quality has
             been articulated using x-ray photoelectron spectroscopy,
             x-ray diffraction, atomic force microscopy and spectroscopic
             ellipsometry data. &copy; 2005 WILEY-VCH Verlag GmbH and Co.
             KGaA, Weinheim.},
   Key = {05479486792}
}

@article{05319271476,
   Author = {Collins, Leslie M. and Huettel, Lisa G. and Brown, April S. and Ybarra, Gary A. and Holmes, Joseph S. and Board, John A. and Cummer, Steven A. and Gustafson, Michael R. and Kim,
             Jungsang and Massoud, Hisham Z.},
   Title = {Theme-based redesign of the duke university ECE curriculum:
             The first steps},
   Journal = {ASEE Annual Conference and Exposition, Conference
             Proceedings},
   Pages = {14313 - 14326},
   Address = {Portland, OR, United States},
   Year = {2005},
   Keywords = {Students;Curricula;Planning;Data processing;Electronic
             equipment;Benchmarking;},
   Abstract = {Undergraduates in Electrical and Computer Engineering (ECE)
             at Duke University have benefited from the combination of
             curricular flexibility and rigorous coursework. The current
             curriculum is further limited in that the core courses do
             not offer a vertically integrated thematic introduction to
             ECE as a discipline nor are they reflective of the broader
             scope of ECE field of study. The course has streamlined
             structure, which is consistent with an educational theme.
             Results from Educational BEnchmark Inc. (EBI) survey of
             students confirmed that they too perceive the oppurtinities
             for improvement in curriculum.},
   Key = {05319271476}
}

@article{05299222741,
   Author = {Seo, Sang-Woo and Cho, Sang-Yeon and Huang, Sa and Jokerst,
             Nan Marie and Brown, April S.},
   Title = {Pulse response tuning of high speed InGaAs thin film MSM
             photodetector using external RCL loads},
   Journal = {Proceedings of SPIE - The International Society for Optical
             Engineering},
   Volume = {5726},
   Pages = {52 - 60},
   Address = {San Jose, CA, United States},
   Year = {2005},
   url = {http://dx.doi.org/10.1117/12.592246},
   Keywords = {Semiconducting indium gallium arsenide;Thin film
             devices;Frequency response;Semiconducting films;Light
             transmission;Microwaves;Digital communication
             systems;Natural frequencies;Inductance;Capacitance;Millimeter
             waves;},
   Abstract = {Practical, packaged photodetectors (PDs) must be interfaced
             to bias and transmission lines, which introduce parasitics.
             These parasitics (resistance, capacitance and inductance)
             can be used to shape the temporal and frequency response of
             packaged photodetectors. Thus, the bias circuitry, external
             passives, and high speed interconnections must be carefully
             designed to produce the desired response in a packaged
             photodetector. Applications dictate the desired PD
             characteristics, which are generally either a flat frequency
             response, or a fast, ring-free impulse response. In this
             paper, the effects of the parasitic resistance, capacitance,
             and inductance are studied to affect the intrinsic response
             of photodetectors for a flat frequency response or a fast
             ring-free impulse response. For the optical transmission of
             microwave and millimeter wave RF signals, such as remote
             antennas or radar arrays, a flat frequency response is
             critical. A flat frequency response can be obtained from
             controlled ringing in the temporal domain. This paper
             explores the control of ringing in the temporal domain using
             varied external loads. A fast fall time, ring-free pulse is
             useful for digital communications applications where ringing
             can degrade the bit error rate. Fourier transforms show that
             a ring-free impulse response has a characteristic fall-off
             at high frequencies. However, this fall-off is detrimental
             for frequency domain applications, so the optimization
             condition for the inductance and capacitance is different
             for these applications. This paper explores the suppression
             of the impulse response tail by varying the external
             loads.},
   Key = {05299222741}
}

@article{8249882,
   Author = {Brown, A.S.},
   Title = {Flat, cheap, and under control [electrochemical mechanical
             planarization]},
   Journal = {IEEE Spectr. (USA)},
   Volume = {42},
   Number = {1},
   Pages = {40 - 5},
   Year = {2005},
   url = {http://dx.doi.org/10.1109/MSPEC.2005.1377874},
   Keywords = {chemical mechanical polishing;electrolytic
             polishing;planarisation;semiconductor device
             manufacture;},
   Abstract = {This paper describes Applied Material's wafer polishing
             technology, called electrochemical mechanical planarization
             (ECMP). ECMP is the answer to the problems of
             electropolishing and chemical mechanical planarization (CMP)
             of the chip-making process: manufacturing faster, more
             powerful chips without obliterating their vanishing fine and
             increasingly fragile features. It is designed to remove
             excess copper from the top of a newly formed layer of wiring
             on a chip without damaging the fragile insulation material,
             called a dielectric, beneath it. ECMP combines aspects of
             two other technologies, chemical mechanical planarization
             (CMP) and electropolishing},
   Key = {8249882}
}

@article{04198149916,
   Author = {Huang, Zhaoran and Cha, Cheolung and Chen, Shuodan and Sarmiento, Tomas and Shen, J.J. and Jokerst, Nan M. and Brooke, Martin A. and May, Gary and Brown, April
             S.},
   Title = {InGaAs MSM Photodetectors Modeling Using DOE
             Analysis},
   Journal = {Proceedings of SPIE - The International Society for Optical
             Engineering},
   Volume = {5178},
   Pages = {148 - 155},
   Address = {San Diego, CA, United States},
   Year = {2004},
   url = {http://dx.doi.org/10.1117/12.507337},
   Keywords = {Semiconducting indium gallium arsenide;Photolithography;Bandwidth;Field
             effect transistors;Electric field effects;Optical
             links;Statistical methods;Optimization;Error
             analysis;Mathematical models;},
   Abstract = {Linear statistical models have been generated to predict the
             performance of metal-semiconductor-metal (MSM) PDs for
             multi-gigabit optical interconnections. The models estimate
             the bandwidth and responsivity of the MSM PDs based on the
             input factors: absorbing layer thickness, detector size,
             finger widths and finger gaps. The design of experiments
             (DOE) approach was employed to obtain the necessary data to
             construct the models. Numerous samples were fabricated so
             that multiple devices measurements could serve to both
             construct and verify the linear statistical models. The MSM
             PDs were fabricated from material with structure
             InAlAs/InAlGaAs/InGaAs (2000A, 3000A or 5000A, absorbing
             layer)/InAlAs. The MSM interdigitated fingers were
             photolithographically defined with finger gaps and widths
             varying as DOE parameters. A benzocyclobutene (BCB,
             Cyclotene 35) layer was spin-coated onto all of the samples
             as isolation from the probing pads. In the bandwidth
             analysis, the detector size (S) and material thickness (T)
             were investigated with a fixed finger width (1 &mu;m) and
             gap (1 &mu;m). Taking the measured results of these
             detectors in the design matrix, and using least square
             regression, the model equations were derived as: Bandwidth
             (GHz) = 12.87 - 0.065S - 3T - 0.02ST. After these equations
             were developed, predictive calculated results from these
             equations were then further used to predict and compare
             measured results on devices that were not used in the
             statistical model. This leads to an average deviation
             between predicted and measured bandwidth of less than 5%. In
             the responsivity analysis, the predictive calculation leads
             to an average deviation less than 11%.},
   Key = {04198149916}
}

@article{04348319510,
   Author = {Seo, Sang-Woo and Cho, Sang-Yeon and Huang, Sa and Brown,
             April S. and Jokerst, Nan Marie},
   Title = {High speed InGaAs thin film MSM photodetector
             characterization using a fiber-based electro-optic sampling
             system},
   Journal = {Proceedings of SPIE - The International Society for Optical
             Engineering},
   Volume = {5353},
   Pages = {48 - 56},
   Address = {San Jose, CA, United States},
   Year = {2004},
   url = {http://dx.doi.org/10.1117/12.531681},
   Keywords = {Semiconducting gallium compounds;Thin film
             devices;Electrooptical devices;Optical fibers;Bandwidth;Microwaves;Photocurrents;Sampling;},
   Abstract = {As optoelectronic devices increase in speed, the measurement
             system used to characterize these devices must have
             sufficient bandwidth and minimum parasitic loading during
             test to accurately determine the intrinsic performance of
             the device under test. Conventional electrical measurement
             systems have an intrinsic bandwidth due to the available
             components for test and have parasitic loading due to direct
             electrical contact to the device under the test.
             Electro-optic sampling is an excellent measurement technique
             for characterizing ultra-fast devices because it has high
             bandwidth, is non-contact, is non-destructive, and
             relatively non-invasive. In this paper, an optical
             fiber-based electrooptic sampling system is designed and
             used for characterizing high speed InGaAs thin film MSM
             photodetectors. A fiber laser which is operating at
             &lambda;=1556 nm was used for the sampling and excitation
             beam. Optical fibers were used to connect each component in
             the system for flexibility. InGaAs thin film MSM
             photodetectors were fabricated and characterized. InGaAs
             thin film MSM photodetectors were bonded onto a coplanar
             strip line deposited on a benzocyclobutene (BCB)-coated
             glass substrate for characterization. These thin film
             photodetectors show high speed operation combined with high
             responsivity and large detection area compared to P-i-N
             photodetectors operating at similar speeds.},
   Key = {04348319510}
}

@article{8072961,
   Author = {Losurdo, M. and Giangregorio, M.M. and Bruno, G. and Brown,
             A.S. and Doolittle, W.A. and Ptak, A.J. and Myers,
             T.H.},
   Title = {Surface potential measurements of doping and defects in
             p-GaN},
   Journal = {GaN and Related Alloys - 2003 Symposium (Mater. Res. Soc.
             Symposium Proceedings Vol.798)},
   Pages = {805 - 10},
   Address = {Boston, MA, USA},
   Year = {2004},
   Keywords = {beryllium;dielectric function;ellipsometry;Fermi
             level;gallium compounds;hydrogen;III-V semiconductors;magnesium;passivation;semiconductor
             epitaxial layers;silicon;surface potential;wide band gap
             semiconductors;},
   Abstract = {The interaction of Be-, Mg-, and Si- doped GaN epitaxial
             films with atomic hydrogen, produced by a remote r.f.
             hydrogen plasma, is investigated. The kinetics of the
             interaction is monitored in real time by spectroscopic
             ellipsometry through the measurement of the variation of the
             GaN pseudodielectric function. The passivation effect of
             hydrogen is inferred by surface potential measurements using
             scanning Kelvin probe microscopy (SKPM). It is found that
             the interaction of GaN with hydrogen is a strong function of
             both the type and level of the doping. Hydrogen treatment is
             shown to lead to a strong variation of the surface potential
             and, hence, of the Fermi level position, which is the result
             of p-dopant passivation by hydrogen. A different interaction
             of Mg and Be with atomic hydrogen is also observed and
             monitored in real time by ellipsometry. SKPM is also used
             for studying the interaction of defects in GaN with atomic
             hydrogen},
   Key = {8072961}
}

@article{04478466901,
   Author = {Seo, Sang-Woo and Jokerst, Nan Marie and Cho, Sang-Yeon and Brown, April S. and Huang, Sa and Shin, Jeng Jung and Brooke, Martin A.},
   Title = {High-speed large-area inverted InGaAs thin-film
             metal-semiconductor-metal photodetectors},
   Journal = {IEEE Journal on Selected Topics in Quantum
             Electronics},
   Volume = {10},
   Number = {4},
   Pages = {686 - 693},
   Year = {2004},
   url = {http://dx.doi.org/10.1109/JSTQE.2004.831677},
   Keywords = {Thin films;Semiconducting indium compounds;Electrooptical
             effects;Electronics packaging;Optical links;Substrates;Sampling;},
   Abstract = {Inverted metal-semiconductor-metal (I-MSM) photodetectors,
             which are thin-film MSMs with the growth substrate removed
             and fingers on the bottom of the device (to eliminate finger
             shadowing to enhance responsivity), are reported herein for
             high-speed high-efficiency large-area photodetectors.
             Reported herein are the highest speed vertically addressed
             large-area (40-&mu;m diameter) photodetectors reported to
             date, which operate with a responsivity of 0.16 A/W and a
             full-width half-maximum of less than 5 ps. Materials,
             fabrication processes, heterogeneous integration, and
             characterization of I-MSM photodetectors are presented in
             this paper, as measured using a fiber-based electrooptic
             sampling system. These large-area photodetectors are ideal
             for vertically addressed high-speed optical links which need
             alignment-tolerant packaging for cost sensitive
             applications.},
   Key = {04478466901}
}

@article{04168116486,
   Author = {Triplett, Gregory E. and Brown, April S. and May, Gary
             S.},
   Title = {Charge modification in InAs/AlxGa1-xSb
             HEMT structures},
   Journal = {Journal of Crystal Growth},
   Volume = {265},
   Number = {1-2},
   Pages = {47 - 52},
   Year = {2004},
   url = {http://dx.doi.org/10.1016/j.jcrysgro.2004.01.036},
   Keywords = {High electron mobility transistors;Semiconductor quantum
             wells;Doping (additives);Heterojunctions;Epitaxial
             growth;Interfaces (materials);Fermi level;Carrier
             concentration;Parameter estimation;Molecular beam
             epitaxy;},
   Abstract = {Intrinsic sheet charge levels in unintentionally doped
             AlSb/InAs/AlSb quantum wells are reproducibly [similar to]
             10<sup>12</sup>/cm<sup>2</sup>. While these levels are
             suitable for depletion-mode operation, realizing
             enhancement-mode devices ultimately depends on the ability
             to significantly reduce sheet charge. In an effort to limit
             carrier accumulation in the InAs quantum well, we have
             studied the impact of the addition of a p-type, Be, doping
             plane between the channel and the cap and the modification
             of defect densities present in the films. Molecular beam
             epitaxy (MBE) process conditions including substrate
             temperature, Be-doping density, and doping plane spacing
             above the quantum well were varied during growth of
             InAs-Al<sub>x</sub>Ga<sub>1-x</sub>Sb high electron mobility
             transistors (HEMTs) structures. Utilizing a 2
             <sup>3</sup>-full factorial statistical experimental design
             plus eight additional runs, the ranges for Be-doping
             (0-2e12/cm<sup>2</sup>), substrate temperature
             (350-420&deg;C), and separation above the quantum well
             (60-80A) were examined. Relationships between MBE process
             conditions and transport properties in Be-doped
             InAs-Al<sub>x</sub>Ga<sub>1-x</sub>Sb HEMT structures were
             established. Among the process parameters investigated,
             substrate temperature during Be-doping had the most
             significant impact on 2DEG concentration. Substrate
             temperature and sheet charge exhibited an inversely
             proportional relationship, where increasing substrate
             temperature resulted in decreasing sheet charge values. The
             lowest charge with corresponding mobility was
             6.6&times;10<sup>10</sup> at 6000cm<sup>2</sup>/V/s. &copy;
             2004 Elsevier B.V. All rights reserved.},
   Key = {04168116486}
}

@article{04308280107,
   Author = {Losurdo, Maria and Giangregorio, Maria M. and Capezzuto, Pio and Bruno, Giovanni and Namkoong, Gon and Doolittle, W. Alan and Brown, April S.},
   Title = {Interplay between GaN polarity and surface reactivity
             towards atomic hydrogen},
   Journal = {Journal of Applied Physics},
   Volume = {95},
   Number = {12},
   Pages = {8408 - 8418},
   Year = {2004},
   url = {http://dx.doi.org/10.1063/1.1745124},
   Keywords = {Hydrogen;Molecular beam epitaxy;Sapphire;Thin
             films;Epitaxial growth;Heterojunctions;Electron
             diffraction;Electron mobility;Spectroscopic analysis;X ray
             diffraction;},
   Abstract = {The polarity of GaN epitaxial films and its impact on the
             interaction of GaN surfaces with atomic hydrogen were
             discussed. GaN epilayers were grown by radio frequency
             plasma molecular beam epitaxy (MBE) with both GaN and AlN
             buffer layers. It was found that a different reaction rate
             exists for N- and Ga-polar GaN with atomic hydrogen, with
             N-polar GaN exhibiting greater reactivity. The results show
             that Ga-polar GaN results from the use of AlN buffer layers
             on sapphire when nitrided.},
   Key = {04308280107}
}

@article{05108871846,
   Author = {Seo, Sang-Woo and Cha, Cheolung and Cho, Sang-Yeon and Huang, Sa and Jokerst, Nan M. and Brooke, Martin A. and Brown, April S.},
   Title = {Etch enhanced low capacitance, large area thin film InGaAs
             metal-semiconductor-metal photodetectors},
   Journal = {Conference Proceedings - Lasers and Electro-Optics Society
             Annual Meeting-LEOS},
   Volume = {1},
   Pages = {222 - 223},
   Address = {Rio Grande, Puerto Rico},
   Year = {2004},
   Keywords = {Plasma etching;Capacitance;Semiconducting gallium;Impulse
             response;Semiconducting indium;Thin films;Adsorption;Photoresistors;Light
             scattering;Threshold voltage;Metallizing;Diaphragms;Permittivity;},
   Abstract = {The enhancement of the impulse response and capacitance
             performance of thin film InGaAs metal-semiconductor-metal
             (MSM) was carried out through etching. The capacitances of
             the MSM were measured using scattering parameter
             measurements using a lightwave component analyzer. The thin
             film I-MSM photodetector cladding layers were etched while
             leaving the adsorbing layers intact. The measured impulse
             response results show improvement as the thickness of the
             thin film I-MSMs decrease, which was correlated with the
             reduction of the capacitance.},
   Key = {05108871846}
}

@article{8066407,
   Author = {Gon Namkoong and Doolittle, W.A. and Brown, A.S. and Losurdo, M. and Giangregorio, M.M. and Bruno,
             G.},
   Title = {Effect of buffer design on AlGaN/AlN/GaN heterostructures by
             MBE},
   Journal = {GaN and Related Alloys - 2003 Symposium (Mater. Res. Soc.
             Symposium Proceedings Vol.798)},
   Pages = {359 - 64},
   Address = {Boston, MA, USA},
   Year = {2004},
   Keywords = {aluminium compounds;gallium compounds;III-V
             semiconductors;molecular beam epitaxial growth;semiconductor
             epitaxial layers;semiconductor growth;semiconductor
             heterojunctions;surface topography;},
   Abstract = {The effect of the buffer layers on the subsequent GaN
             epitaxial layers and electrical properties of AlGaN/AlN/GaN
             heterojunction structures nitrided at various temperatures
             was investigated. For AlN buffer layers, two different
             growth conditions of AlN buffer layers were introduced to
             avoid Al droplets. We found that etch pit density and
             structural quality of GaN epitaxial layer strongly depends
             on the growth conditions of AlN buffer layers. When using a
             double buffer layer (low temperature GaN on high temperature
             AlN) for 200 &deg;C nitridation, the etch pit density was
             measured to high 10<sup>7</sup> cm<sup>-2</sup> in GaN
             epitaxial layers. Furthermore, we observed that electrical
             properties of AlGaN/AlN/GaN heterostructures depend on
             growth conditions of buffer layers and nitridation
             temperatures. The mobility in Al<sub>0.33</sub>Ga<sub>0.67</sub>N/AlN/GaN
             structures grown on single AlN buffer layers for 200 &deg;C
             nitridation were 1300 cm<sup>2</sup>/Vs at a sheet charge of
             1.6 &times; 10<sup>13</sup> cm<sup>-2</sup>. Using the
             double buffer layer for 200 &deg;C nitridation, the mobility
             increased to 1587 cm<sup>2</sup>/Vs with a sheet charge of
             1.25 &times; 10<sup>13</sup> cm<sup>-2</sup>},
   Key = {8066407}
}

@article{04108052618,
   Author = {Losurdo, Maria and Giuva, Danilo and Bruno, Giovanni and Huang, Sa and Kim, Tong-Ho and Brown, April
             S.},
   Title = {The surface modification and reactivity of LiGaO2
             substrates during GaN epitaxy},
   Journal = {Journal of Crystal Growth},
   Volume = {264},
   Number = {1-3},
   Pages = {139 - 149},
   Year = {2004},
   url = {http://dx.doi.org/10.1016/j.jcrysgro.2004.01.018},
   Keywords = {Gallium nitride;Molecular beam epitaxy;Nitrides;Reaction
             kinetics;Nitrogen;Ellipsometry;Hydrogen;Etching;Metallorganic
             chemical vapor deposition;Vaporization;X ray photoelectron
             spectroscopy;Atomic force microscopy;Reflection high energy
             electron diffraction;},
   Abstract = {The chemistry and kinetics of lithium gallate (LGO)
             substrates during nitridation are investigated. Nitridation
             experiments have been carried out using two remote nitrogen
             RF plasma sources: in an MBE system and in a remote plasma
             MOCVD system. The difference between the two nitrogen
             sources is the pressure. The experiments were run in
             parallel to demonstrate that the same heterogeneous
             chemistry applies during LGO nitridation in both MBE and
             MOCVD environments, provided that the same species is
             produced in the gas phase. Surface analysis techniques,
             including X-ray photoelectron spectroscopy (XPS), atomic
             force microscopy (AFM) and spectroscopic ellipsometry (SE),
             show that an optimal temperature of about 600&deg;C and an
             optimal time that depends on the incident nitrogen density
             exists that results in the formation of [similar to] 5A of
             GaN on LGO. The nitridation process competes with lattice
             damage that is enhanced by the presence of hydrogen. &copy;
             2004 Elsevier B.V. All rights reserved.},
   Key = {04108052618}
}

@article{04368342647,
   Author = {Losurdo, M. and Giangregorio, M.M. and Capezzuto, P. and Bruno, G. and Namkoong, G. and Doolittle, W.A. and Brown,
             A.S.},
   Title = {Interaction of GaN epitaxial layers with atomic
             hydrogen},
   Journal = {Applied Surface Science},
   Volume = {235},
   Number = {3},
   Pages = {267 - 273},
   Address = {Ustron, Poland},
   Year = {2004},
   url = {http://dx.doi.org/10.1016/j.apsusc.2004.05.152},
   Keywords = {Epitaxial growth;Hydrogen;Sapphire;Ion bombardment;Passivation;Thin
             films;Atomic force microscopy;Ellipsometry;Probes;},
   Abstract = {GaN surface passivation processes are still under
             development and among others hydrogen treatments are
             investigated. In this study, we use non-destructive optical
             and electrical probes such as spectroscopic ellipsometry
             (SE) and surface potential Kelvin probe microscopy (SP-KPM)
             in conjunction with non-contact atomic force microscopy
             (AFM) for the study of the different reactivity of Ga-polar
             and N-polar GaN epitaxial layers with atomic hydrogen. The
             GaN epitaxial layers are grown by molecular beam epitaxy on
             sapphire (0001) substrates, and GaN and AlN buffer layers
             are used to grow N-polar and Ga-polar films, respectively.
             The atomic hydrogen is produced by a remote rf (13.56MHz)
             H<sub>2</sub> plasma in order to rule out any ion
             bombardment of the GaN surface and make the interaction
             chemical. It is found that the interaction of GaN surfaces
             with atomic hydrogen depends on polarity, with N-polar GaN
             exhibiting greater reactivity. Furthermore, it is found that
             atomic hydrogen is effective in the passivation of grain
             boundaries and surface defects states. &copy; 2004 Elsevier
             B.V. All rights reserved.},
   Key = {04368342647}
}

@article{04438417380,
   Author = {Brown, April S. and Losurdo, Maria and Bruno, Giovanni and Brown, Terence and May, Gary},
   Title = {Fundamental reactions controlling anion exchange during the
             synthesis of Sb/As mixed-anion heterojunctions},
   Journal = {Journal of Vacuum Science and Technology B: Microelectronics
             and Nanometer Structures},
   Volume = {22},
   Number = {4},
   Pages = {2244 - 2249},
   Year = {2004},
   url = {http://dx.doi.org/10.1116/1.1775201},
   Keywords = {Arsenic;Antimony;Reaction kinetics;Heterojunctions;Semiconducting
             gallium compounds;Dimers;Molecular structure;Molecular beam
             epitaxy;Synthesis (chemical);Scanning tunneling microscopy;X
             ray photoelectron spectroscopy;Mathematical
             models;},
   Abstract = {As/Sb and Sb/As anion exchange reactions to control
             heterojunction interface intermixing in mixed anion
             structure were investigated. The substrate temperature,
             anion flux exposure time, and incident anion molecular
             species were analyzed. The characterization of Sb/AS
             structures was done by Sb surface segregation and subsequent
             incorporate in epitaxial overlayers. The result show that
             As/Sb exchange was significant and enhanced by the use of
             dimer fluxes.},
   Key = {04438417380}
}

@article{04208160908,
   Author = {Losurdo, M. and Giuva, D. and Giangregorio, M.M. and Bruno,
             G. and Brown, A.S.},
   Title = {Spectroscopic ellipsometry characterization of interface
             reactivity in GaAs-based superlattices},
   Journal = {Thin Solid Films},
   Volume = {455-456},
   Pages = {457 - 461},
   Address = {Vienna, Austria},
   Year = {2004},
   url = {http://dx.doi.org/10.1016/j.tsf.2003.11.286},
   Keywords = {Superlattices;Ion exchange;Negative ions;Surface
             treatment;Ternary systems;Microstructure;Ellipsometry;Spectroscopic
             analysis;Molecular beam epitaxy;},
   Abstract = {Pseudodielectric function spectra of GaAs/GaSb<sub>1-y</sub>As<sub>y</sub>,
             GaSb/GaAs<sub>y</sub>Sb<sub>1-y</sub> and
             GaAs/GaP<sub>y</sub>As<sub>1-y</sub> superlattices have been
             measured by spectroscopic ellipsometry in the 0.75-5.5 eV
             photon energy range. The analysis of the E<sub>1</sub>
             interband critical point and modeling of spectra has been
             carried out to investigate the chemistry of the anion
             exchange reaction and abruptness of interface composition in
             the superlattices. It has been found that a ternary compound
             GaP<sub>y</sub>As <sub>1-y</sub> forms in the case of the
             P-for-As anion exchange reaction. In the case of As-for-Sb
             anion exchange reaction for (GaSb/GaAs<sub>y</sub>Sb
             <sub>1-y</sub>)<sub>20</sub> SLs, SE data show that this
             anion exchange results in the formation not only of a
             ternary alloy GaAs<sub>y</sub>Sb<sub>1-y</sub>, but also in
             the formation of isoelectronic compounds AsSb<sub>x</sub>
             that segregate at the GaSb/GaAs interface. In the case of
             Sb-for-As anion exchange for (GaAs/GaSbyAs<sub>1-y</sub>)<sub>20</sub>
             SLs, Sb segregates at the GaAs surface. &copy; 2003 Elsevier
             B.V. All rights reserved.},
   Key = {04208160908}
}

@article{04278245174,
   Author = {Losurdo, M. and Giangregorio, M.M. and Bruno, G. and Brown,
             A.S. and Doolittle, W.A. and Namkoong, Gon and Ptak, A.J. and Myers, T.H.},
   Title = {Surface potential measurements of doping and defects in
             p-GaN},
   Journal = {Materials Research Society Symposium - Proceedings},
   Volume = {798},
   Pages = {805 - 810},
   Address = {Boston, MA, United States},
   Year = {2003},
   Keywords = {Doping (additives);Surface measurement;Kinetic theory;Fermi
             level;Silicon;Spectroscopy;Ellipsometry;Passivation;Beam
             plasma interactions;Beryllium;Hydrogen;Magnesium printing
             plates;},
   Abstract = {The interaction of Be-, Mg-, and Si- doped GaN epitaxial
             films with atomic hydrogen, produced by a remote r.f.
             hydrogen plasma, is investigated. The kinetics of the
             interaction is monitored in real time by spectroscopic
             ellipsometry through the measurement of the variation of the
             GaN pseudodielectric function. The passivation effect of
             hydrogen is inferred by surface potential measurements using
             scanning Kelvin probe microscopy (SKPM). It is found that
             the interaction of GaN with hydrogen is a strong function of
             both the type and level of the doping. Hydrogen treatment is
             shown to lead to a strong variation of the surface potential
             and, hence, of the Fermi level position, which is the result
             of p-dopant passivation by hydrogen. A different interaction
             of Mg and Be with atomic hydrogen is also observed and
             monitored in real time by ellipsometry. SKPM is also used
             for studying the interaction of defects in GaN with atomic
             hydrogen.},
   Key = {04278245174}
}

@article{04498701808,
   Author = {Seo, S.W. and Shen, J.J. and Jokerst, N.M. and Brown,
             A.S.},
   Title = {Large area, high speed InGaAs thin film MSMs for
             heterogeneously integrated optoelectronics},
   Journal = {OSA Trends in Optics and Photonics Series},
   Volume = {88},
   Pages = {460 - 463},
   Address = {Baltimore, MD, United States},
   Year = {2003},
   Keywords = {Optoelectronic devices;Thin films;Fiber optics;Electrodes;Indium
             compounds;Gallium compounds;Diaphragms;Quantum
             efficiency;Metallizing;Degradation;},
   Abstract = {Thin film InGaAs photodetectors for heterogeneously
             integrated optoelectronics are demonstrated with low dark
             current (0.16nA at 5V), and high speed (3ps rise time, 6.3ps
             FWHM), large area (40 &mu;m), and good responsivity (0.19A/W
             at 5V).},
   Key = {04498701808}
}

@article{03157430661,
   Author = {Namkoong, Gon and Doolittle, W. Alan and Brown, April S. and Losurdo, Maria and Giangregorio, Maria M. and Bruno,
             Giovanni},
   Title = {The impact of substrate nitridation temperature and buffer
             design and synthesis on the polarity of GaN epitaxial
             films},
   Journal = {Journal of Crystal Growth},
   Volume = {252},
   Number = {1-3},
   Pages = {159 - 166},
   Year = {2003},
   url = {http://dx.doi.org/10.1016/S0022-0248(03)00953-9},
   Keywords = {Epitaxial growth;Sapphire;Morphology;Annealing;Dislocations
             (crystals);Substrates;Atomic force microscopy;},
   Abstract = {The polarity of GaN epitaxial layers grown on GaN and AlN
             buffer layers was investigated and found to be dependent on
             nitridation temperature over the range of 200-700&deg;C.
             When low temperature (LT), 500&deg;C, GaN buffer layers are
             used, GaN epitaxial layers grown on 200&deg;C nitrided
             sapphire have a higher density of N-polar inversion domains.
             However, a high density of dislocation pits was observed on
             GaN epitaxial layers based on AFM morphology when GaN
             epitaxial layers were grown on LT GaN buffer of 700&deg;C
             nitrided sapphire substrate. With high temperature (HT),
             850&deg;C, AlN buffer layers, the density of N-polar
             inversion domains in GaN epitaxial layers depends on the
             thickness of AlN buffer layer. The structural quality of
             Ga-polar GaN epitaxial layer is dramatically improved when
             LT GaN and HT AlN buffer layers are combined with an
             optimized annealing time. The measured full-widths at
             half-maximum of (0002) symmetric and (10.4) asymmetric
             reflections are 68 and 246 arcsec, respectively, for 1.0
             &mu;m GaN epitaxial layers. The results presented here can
             be implemented to produce low dislocation density, single
             Ga-polar GaN epitaxial layers. &copy; 2003 Elsevier Science
             B.V. All rights reserved.},
   Key = {03157430661}
}

@article{7775917,
   Author = {Kuech, T.F. and Ning Liu and Tong-Ho Kim and Changhyun Yi and Brown, A.S.},
   Title = {Alternative substrates for InP and related
             materials},
   Journal = {2003 International Conference Indium Phosphide and Related
             Materials. Conference Proceedings (Cat. No.03CH37413)},
   Pages = {562 -},
   Address = {Santa Barbara, CA, USA},
   Year = {2003},
   url = {http://dx.doi.org/10.1109/ICIPRM.2003.1205442},
   Keywords = {gallium arsenide;III-V semiconductors;indium
             compounds;substrates;wafer bonding;},
   Abstract = {We will present examples of wafer bonding as applied to
             InGaAs/InP system highlighting the effects of the bonding
             process on the post-bonding device characteristics. Some
             future directions of alternative substrates for use in InP
             technologies will be presented},
   Key = {7775917}
}

@article{03447702287,
   Author = {Doolittle, W. Alan and Namkoong, Gon and Carver, Alexander
             G. and Brown, April S.},
   Title = {Challenges and potential payoff for crystalline oxides in
             wide bandgap semiconductor technology},
   Journal = {Solid-State Electronics},
   Volume = {47},
   Number = {12},
   Pages = {2143 - 2147},
   Year = {2003},
   url = {http://dx.doi.org/10.1016/S0038-1101(03)00187-4},
   Keywords = {Crystals;Oxides;Permittivity;Polarization;},
   Abstract = {While growth of wide bandgap semiconductor materials on
             crystalline oxides (sapphire, lithium gallate, lithium
             aluminate, zinc oxide and others) has become routine, growth
             of crystalline oxides on wide bandgap materials remains
             challenging and minimally explored. The potential payoff in
             terms of enhanced device performance, increased
             functionality and reliability warrants examining this
             option. This presentation aims at targeting key areas, where
             crystalline oxides could improve wide bandgap semiconductor
             device performance. Some of these include the use of
             ferroelectric oxides for power switching applications,
             oxides with anisotropic dielectric constants for high
             voltage termination and oxides with large electric flux
             density near breakdown. Unique polarization engineered
             structures are described that are enabled by using
             lithographically defined poled regions in a ferroelectric
             substrate. The desired crystalline oxide properties,
             potential implementation challenges and potential pitfalls
             will be discussed. &copy; 2003 Published by Elsevier
             Ltd.},
   Key = {03447702287}
}

@article{04288257335,
   Author = {Losurdo, Maria and Giuva, Danilo and Capezzuto, Pio and Bruno, Giovanni and Brown, Terence and Triplett, Greg and May, Gary and Brown, April S.},
   Title = {A study of anion exchange reactions at GaAs surfaces for
             heterojunction interface control},
   Journal = {Materials Research Society Symposium - Proceedings},
   Volume = {799},
   Pages = {97 - 102},
   Address = {Boston, MA, United States},
   Year = {2003},
   Keywords = {Semiconducting gallium arsenide;Heterojunctions;Superlattices;Diffusion
             in solids;Energy gap;Negative ions;X ray photoelectron
             spectroscopy;},
   Abstract = {GaP<sub>y</sub>As<sub>1-y</sub>/GaAs, GaAs<sub>y</sub>Sb<sub>i-y</sub>/GaSb
             and GaSb<sub>y</sub>As<sub>1-y</sub>/GaAs superlattices
             (SLs) grown by MBE, by exposure of GaAs to phosphorus and
             antimonide fluxes, and by exposure of GaSb to an arsenic
             flux, respectively, have been investigated. The focus is on
             the abruptness of interfaces and understanding the
             mechanisms associated with anion incorporation and exchange.
             In the case of the Sb flux interaction with the GaAs
             surface, the Sb segregation at the GaAs surface inhibits
             anion exchange. For the case of As over GaSb reactions,
             anion exchange results in the formation not only of the
             ternary alloy GaAs<sub>y</sub>Sb<sub>1-y</sub>, but also of
             isoelectronic compounds AsSb<sub>x</sub> that segregate at
             the GaSb/GaAs interface. In the case of the P flux
             interfaction with the GaAs surface, fast in-diffusion of P
             results in graded GaP<sub>y</sub>As<sub>1-y</sub> layer
             formation.},
   Key = {04288257335}
}

@article{04278245113,
   Author = {Namkoong, Gon and Doolittle, W. Alan and Brown, A.S. and Losurdo, M. and Giangregorio, M.M. and Bruno,
             G.},
   Title = {Effect of buffer design on AlGaN/AlN/GaN heterostrucutres by
             MBE},
   Journal = {Materials Research Society Symposium - Proceedings},
   Volume = {798},
   Pages = {359 - 364},
   Address = {Boston, MA, United States},
   Year = {2003},
   Keywords = {Heterojunctions;Epitaxial growth;Crystal lattices;Organic
             acids;Desorption;Carrier concentration;X ray
             diffraction;Reflection high energy electron
             diffraction;},
   Abstract = {The effect of the buffer layers on the subsequent GaN
             epitaxial layers and electrical properties of AlGaN/AlN/GaN
             heterojunction structures nitrided at various temperatures
             was investigated. For AlN buffer layers, two different
             growth conditions of AlN buffer layers were introduced to
             avoid Al droplets. We found that etch pit density and
             structural quality of GaN epitaxial layer strongly depends
             on the growth conditions of AlN buffer layers. When using a
             double buffer layer (low temperature GaN on high temperature
             AlN) for 200 &deg;C nitridation, the etch pit density was
             measured to high 107 cm<sup>-2</sup> in GaN epitaxial
             layers. Furthermore, we observed that electrical properties
             of AlGaN/AlN/GaN heterostructures depend on growth
             conditions of buffer layers and nitridation temperatures.
             The mobility in Al<sub>0.33</sub>Ga <sub>0.67</sub>N/AlN/GaN
             structures grown on single AlN buffer layers for 200 &deg;C
             nitridation were 1300 cm<sup>2</sup>/Vs at a sheet charge of
             1.6&times;10<sup>13</sup> cm<sup>-2</sup>. Using the double
             buffer layer for 200 &deg;C nitridation, the mobility
             increased to 1587 cm<sup>2</sup>/Vs with a sheet charge of
             1.25&times;10<sup>13</sup> cm<sup>-2</sup>.},
   Key = {04278245113}
}

@article{7648070,
   Author = {Brown, A.S.},
   Title = {Superconducting circuit makers pin hopes on wireless
             filters},
   Journal = {IEEE Spectr. (USA)},
   Volume = {40},
   Number = {4},
   Pages = {3 pp. -},
   Year = {2003},
   Keywords = {superconducting filters;},
   Abstract = {The discovery of ceramic superconductors in 1986 sparked
             dreams of levitating trains, cheap electric power, and
             desktop medical scanners. Some savvy investors instead set
             their sights on superconducting microelectronics. In 1987, a
             group that included Intel cofounder Robert Noyce and Nobel
             Laureate J. Robert Schrieffer started Superconductor
             Technologies Inc. (STI). In the same year another group, led
             by Ethernet pioneer John F. Shoch, started Conductus Inc.
             Now, 15 years later, the pioneers in superconducting
             circuits have merged in the hopes of better surviving a
             market meltdown. By the time the two companies merged with
             one another, their visions of becoming a foundry for
             superconducting circuits in general had narrowed to
             production of superconducting filters to improve cell phone
             communications},
   Key = {7648070}
}

@article{02176925920,
   Author = {Yi, Changhyun and Kim, Tong-Ho and Brown, April
             S.},
   Title = {InP-based AlInAs/GaAs0.51Sb0.49/GaInAs
             single heterojunction bipolar transistor for high-speed and
             RF wireless applications},
   Journal = {Journal of Electronic Materials},
   Volume = {31},
   Number = {2},
   Pages = {95 - 98},
   Year = {2002},
   Keywords = {Semiconducting aluminum compounds;Semiconducting gallium
             arsenide;Semiconducting indium gallium arsenide;Heterojunction
             bipolar transistors;Semiconductor growth;Molecular beam
             epitaxy;Integrated circuit manufacture;Semiconductor device
             structures;Application specific integrated circuits;Electric
             potential;Electric currents;Current density;},
   Abstract = {The InP-based AlInAs-GaAsSb-GaInAs heterojunction bipolar
             transistors (HBTs) have been grown by solid-source
             molecular-beam epitaxy (SSMBE). Since the AlInAs-GaAsSb
             heterojunction has a type-II (staggered) band lineup, the
             conduction-band discontinuity is negligible at 300 K (10
             meV). Thus, the turn-on voltage is significantly lower than
             that of an AlInAs-GaInAs HBT even without compositional
             grading of the emitter-base junction. A self-aligned process
             was used to fabricate large area devices. The measured
             turn-on voltage and collector-emitter offset were 0.36 V and
             0.23 V, respectively, with a DC gain of approximately 25 and
             ideality factors of &eta;<sub>C</sub> = 1.01 and
             &eta;<sub>B</sub> = 1.1 at J<sub>C</sub> = 10
             kA/cm<sup>2</sup> collector-current density.},
   Key = {02176925920}
}

@article{7636394,
   Author = {Losurdo, M. and Giangregorio, M.M. and Capezzuto, P. and Bruno, G. and Namkoong, G. and Doolittle, W.A. and Brown,
             A.S.},
   Title = {A chemical perspective of GaN polarity: the use of hydrogen
             plasma dry etching versus NaOH wet etching to determine
             polarity},
   Journal = {Materials and Devices for Optoelectronics and
             Microphotonics. Symposia (Materials Research Society
             Symposium Proceedings Vol.722)},
   Pages = {103 - 8},
   Address = {San Francisco, CA, USA},
   Year = {2002},
   Keywords = {dislocation density;domains;gallium compounds;III-V
             semiconductors;semiconductor epitaxial layers;sputter
             etching;surface morphology;wide band gap
             semiconductors;},
   Abstract = {The use of dry hydrogen plasma etching is evaluated for
             determination of GaN polarity and critically compared to wet
             etching in NaOH. It is shown that hydrogen plasma etching is
             effective in revealing inversion domains (IDs) and some
             types of dislocations. This is because the surface
             morphology is unchanged by the hydrogen treatment, and,
             hence, the surface reactivity is not masked},
   Key = {7636394}
}

@article{02166923808,
   Author = {Losurdo, M. and Capezzuto, P. and Bruno, G. and Namkoong, G. and Doolittle, W.A. and Brown, A.S.},
   Title = {Plasmas for the low-temperature growth of high-quality GaN
             films by molecular beam epitaxy and remote plasma
             MOCVD},
   Journal = {Physica Status Solidi (A) Applied Research},
   Volume = {190},
   Number = {1},
   Pages = {43 - 51},
   Year = {2002},
   url = {http://dx.doi.org/10.1002/1521-396X(200203)190:1<43::AID-PSSA43>3.0.CO;2-G},
   Keywords = {Gallium nitride;Film growth;Sapphire;Plasmas;Molecular beam
             epitaxy;Metallorganic chemical vapor deposition;Annealing;Interferometry;Ellipsometry;Electron
             spectroscopy;Reflection high energy electron
             diffraction;},
   Abstract = {GaN heteroepitaxial growth on sapphire (0001) substrates was
             carried out by both radio-frequency (rf) remote plasma
             metalorganic chemical vapor deposition (RP-MOCVD) and
             molecular beam epitaxy (MBE). A multistep growth process
             including substrate plasma cleaning and nitridation, buffer
             growth, its subsequent annealing and epilayer growth was
             used. In order to achieve a better understanding of the GaN
             growth, in-situ real time investigation of the surface
             chemistry is performed for all the steps using the
             conventional reflection high-energy electron spectroscopy
             (RHEED) during the MBE process, while laser reflectance
             interferometry (LRI) and spectroscopic ellipsometry (SE),
             which do not require UHV conditions, are used for the
             monitoring of the RP-MOCVD process. The chemistry of the rf
             N<sub>2</sub> plasma sapphire nitridation and its effect on
             the epilayer growth and quality are discussed in both MBE
             and RP-MOCVD.},
   Key = {02166923808}
}

@article{03317575949,
   Author = {Doolittle, W. Alan and Namkoong, Gon and Carver, Alexander and Henderson, Walter and Jundt, Dieter and Brown, April
             S.},
   Title = {III-nitride growth on lithium niobate: A new substrate
             material for polarity engineering in III-nitride
             heteroepitaxy},
   Journal = {Materials Research Society Symposium - Proceedings},
   Volume = {743},
   Pages = {9 - 14},
   Address = {Boston, MA, United States},
   Year = {2002},
   Keywords = {Nitrides;Semiconductor growth;Epitaxial growth;Heterojunctions;Substrates;Crystal
             lattices;Film growth;Molecular beam epitaxy;Gallium
             nitride;Aluminum nitride;Nonlinear optics;Optical
             properties;},
   Abstract = {Herein, we discuss the use of a novel new substrate for
             III-Nitride epitaxy, Lithium Niobate. It is shown that
             Lithium Niobate (LN) has a smaller lattice mismatch to
             III-Nitrides than sapphire and can be used to control the
             polarity of III-Nitride films grown by plasma assisted
             molecular beam epitaxy. Results from initial growth studies
             are reported including using various nitridation/buffer
             conditions along with structural and optical
             characterization. Comparisons of data obtained from GaN and
             A1N buffer layers are offered and details of the film
             adhesion dependence on buffer layer conditions is presented.
             Lateral polarization heterostructures grown on periodically
             poled LN are also demonstrated. While work is still required
             to establish the limits of the methods proposed herein,
             these initial studies offer the promise for mixing
             Ill-Nitride semiconductor materials with lithium niobate
             allowing wide bandgap semiconductors to utilize the
             acoustic, pyroelectric/ferroelectric, electro-optic, and
             nonlinear optical properties of this new substrate material
             as well as the ability to engineer various polarization
             structures for future devices.},
   Key = {03317575949}
}

@article{02106879531,
   Author = {Namkoong, Gon and Brown, April S. and Losurdo, Maria and Capezzuto, Pio and Bruno, Giovanni and Alan Doolittle,
             W.},
   Title = {Role of sapphire nitridation temperature on GaN growth by
             plasma assisted molecular beam epitaxy: Part I. Impact of
             the nitridation chemistry on material characteristics},
   Journal = {Journal of Applied Physics},
   Volume = {91},
   Number = {4},
   Pages = {2499 -},
   Year = {2002},
   url = {http://dx.doi.org/10.1063/1.1435834},
   Key = {02106879531}
}

@article{02477214629,
   Author = {Wang, Zhong L. and Brown, April S. and Wang, Y.Q. and Shen,
             J.J.},
   Title = {Engineering vertically aligned InAs/GaAs quantum dot
             structures via anion exchange},
   Journal = {Solid State Communications},
   Volume = {122},
   Number = {10},
   Pages = {553 - 556},
   Year = {2002},
   url = {http://dx.doi.org/10.1016/S0038-1098(02)00212-0},
   Keywords = {Semiconducting gallium arsenide;Negative ions;Self
             assembly;Crystal growth;Molecular beam epitaxy;Morphology;},
   Abstract = {P/As anion exchange is exploited to modify stacked InAs/GaAs
             quantum dot structures grown by molecular beam epitaxy
             (MBE). It is shown that the vertical alignment and size
             uniformity can be remarkably improved via P/As anion
             exchange. This, therefore, demonstrates a promising approach
             to tuning the quantum dot morphologies and structures, and
             hence, the electronic and optoelectronic properties. &copy;
             2002 Elsevier Science Ltd. All rights reserved.},
   Key = {02477214629}
}

@article{7402750,
   Author = {Triplett, G.E. and May, G.S. and Brown, A.S.},
   Title = {Using neural networks for RHEED modeling of interfaces in
             AlGaSb-InAs HEMT devices},
   Journal = {2002 GaAs MANTECH Conference. Digest of Papers},
   Pages = {157 - 60},
   Address = {San Diego, CA, USA},
   Year = {2002},
   Keywords = {aluminium compounds;gallium compounds;high electron mobility
             transistors;III-V semiconductors;indium compounds;neural
             nets;reflection high energy electron diffraction;semiconductor
             device models;},
   Abstract = {In this paper, it is demonstrated that RHEED data obtained
             during the formation of the interfaces in AlGaSb-InAs HEMT
             devices can be used to model device important epitaxial
             layer electrical performance parameters. RHEED intensity
             oscillations of the specular spot are analyzed using
             principle component analysis (PCA) and modeled using error
             backpropagation (BP) neural networks},
   Key = {7402750}
}

@article{02377085936,
   Author = {Yi, Changhyun and Metzger, Robert A. and Brown, April
             S.},
   Title = {The effect of strained Al0.7In0.3As
             emitter layers on abrupt N-p+ AlInAs-GaInAs
             heterojunction diodes and heterojunction bipolar
             transistors},
   Journal = {Journal of Electronic Materials},
   Volume = {31},
   Number = {8},
   Pages = {841 - 847},
   Year = {2002},
   Keywords = {Semiconducting aluminum compounds;Semiconductor
             diodes;Heterojunction bipolar transistors;Computer
             simulation;Electric field effects;Molecular beam
             epitaxy;Electron transport properties;Carrier
             concentration;Thermionic emission;Electron
             tunneling;Electron mobility;Band structure;},
   Abstract = {Strained Al<sub>x</sub>In<sub>1-x</sub>As/Ga<sub>0.47</sub>In<sub>0.53</sub>As
             heterojunction N-p<sup>+</sup> diodes and heterojunction
             bipolar transistors (HBTs) have been grown on InP substrates
             by solid-source molecular-beam epitaxy, fabricated, and
             characterized. To determine the effects of the
             conduction-band discontinuity at the emitter-base
             heterojunction on turn-on voltage and ideality factor, a
             strained Al<sub>0.7</sub>In<sub>0.3</sub>As layer is
             inserted in the emitter near the base. Changes in transport
             across the junction are observed as a function of the
             strained-layer position and thickness. These results were
             used to implement strained emitter HBTs.},
   Key = {02377085936}
}

@article{02307028319,
   Author = {Namkoong, Gon and Doolittle, W. Alan and Brown, April S. and Losurdo, Maria and Capezzuto, Pio and Bruno,
             Giovanni},
   Title = {Role of low-temperature (200 °C) nitridation in the
             growth of GaN by plasma-assisted molecular-beam
             epitaxy},
   Journal = {Journal of Vacuum Science and Technology B: Microelectronics
             and Nanometer Structures},
   Volume = {20},
   Number = {3},
   Pages = {1221 - 1228},
   Address = {Providence, RI},
   Year = {2002},
   url = {http://dx.doi.org/10.1116/1.1470514},
   Keywords = {Gallium nitride;Molecular beam epitaxy;Nitriding;Sapphire;Surface
             chemistry;Optical properties;Diffusion;Low temperature
             effects;High temperature effects;Ellipsometry;X ray
             photoelectron spectroscopy;Secondary ion mass
             spectrometry;},
   Abstract = {The effects of sapphire nitridation temperature on the
             properties of GaN grown by radiofrequency (rf) plasma
             assisted molecular-beam epitaxy (MBE) were discussed. It was
             found that the optical and structural characteristics of GaN
             epitaxial layers were dramatically improved at a nitridation
             temperatutre of 200 &deg;C. The analysis showed that the
             nitridation temperature was a crucial parameter in
             improvement of the optical and structural quality of GaN
             epitaxial layers.},
   Key = {02307028319}
}

@article{03467727853,
   Author = {Kim, Tong-Ho and Yi, Changhyun and Brown, April S. and Moran, Peter and Kuech, Thomas},
   Title = {The Heterogeneous Integration of InAlAs/InGaAs
             Heterojunction Diodes on GaAs: Impact of Wafer Bonding on
             Structural and Electrical Characteristics},
   Journal = {Proceedings IEEE Lester Eastman Conference on High
             Performance Devices},
   Pages = {384 - 392},
   Address = {Newark, DE, United States},
   Year = {2002},
   Keywords = {Semiconducting gallium arsenide;Semiconducting indium
             gallium arsenide;Current voltage characteristics;X ray
             diffraction analysis;Secondary ion mass spectrometry;Computer
             simulation;},
   Abstract = {We have investigated the influence of low temperature wafer
             bonding on the electrical and structural characteristics of
             InAlAs/InGaAs n-p heterojunction structures with similar
             structure to an emitter-base junction of InAlAs/InGaAs HBTs.
             Those n-p junction heterostructures were grown on an InP
             (100) substrate by solid source MBE. The effect of the wafer
             bonding process on the structural properties of the
             epitaxial layers was studied by comparing triple crystal
             x-ray diffraction measurements and simulations before and
             after bonding. In addition, the influence of the bonding
             process on the electrical properties of the heterojunction
             structures was assessed through SIMS analysis of both the
             bonded and non-bonded samples and an analysis of the I-V
             characteristics of diodes fabricated on both the bonded and
             non-bonded sample. These analyses show that the structural
             and electrical properties of the as-grown epitaxial layers
             were negligibly changed by the low temperature wafer
             transfer process.},
   Key = {03467727853}
}

@article{02216955072,
   Author = {Seo, Sangwoo and Kang, Sangbeom and Doolittle, William A. and Lee, K.K. and Huang, S. and Jokerst, N.M. and Brown,
             A.S. and Brooke, M.A.},
   Title = {The heterogeneous integration of GaN thin-film
             metal-semiconductor-metal photodetectors onto
             silicon},
   Journal = {IEEE Photonics Technology Letters},
   Volume = {14},
   Number = {2},
   Pages = {185 - 187},
   Year = {2002},
   url = {http://dx.doi.org/10.1109/68.980507},
   Keywords = {Thin film devices;Gallium nitride;Semiconducting
             silicon;Silica;Low temperature operations;Etching;Substrates;Lithium
             compounds;Molecular beam epitaxy;},
   Abstract = {The heterogeneous integration of GaN thin-film
             metal-semiconductor-metal (MSM) photodetectors onto a host
             substrate of SiO<sub>2</sub>-Si is reported herein.
             Thin-film GaN photodetectors were separated from the lithium
             gallate (LiGaO<sub>2</sub>) growth substrate using selective
             etching, and contact bonded onto an SiO<sub>2</sub>-Si host
             substrate. The thin-film MSMs exhibited a dark current of
             13.36 pA and an UV photoresponse at 308 nm of 0.11 A/W at a
             reverse bias voltage of 20 V. This first demonstration of
             GaN thin-film device integration onto SiO<sub>2</sub>-Si
             using a low-temperature integration process, combined with
             the advances in GaN material quality on LiGaO<sub>2</sub>
             substrates, enables the integration of GaN devices with Si
             circuitry for heterogeneously integrated
             systems.},
   Key = {02216955072}
}

@article{7160044,
   Author = {Losurdo, M. and Capezzuto, P. and Bruno, G. and Namkoong, G. and Doolittle, W.A. and Brown, A.S.},
   Title = {The chemistry of sapphire nitridation in relation to the GaN
             structural quality: Why low temperature 200°C
             nitridation?},
   Journal = {Phys. Status Solidi A (Germany)},
   Volume = {188},
   Number = {2},
   Pages = {561 - 5},
   Address = {Denver, CO, USA},
   Year = {2001},
   url = {http://dx.doi.org/10.1002/1521-396X(200112)188:2<561::AID-PSSA561>3.0.CO;2-J},
   Keywords = {annealing;chemisorption;gallium compounds;III-V
             semiconductors;nitridation;photoluminescence;sapphire;semiconductor
             epitaxial layers;semiconductor growth;substrates;thermally
             stimulated desorption;wide band gap semiconductors;},
   Abstract = {The effect of c-plane sapphire nitridation upon exposure to
             an rf N<sub>2</sub> plasma at temperatures in the range
             100-700&deg;C on the quality of GaN epilayers grown by MBE
             is investigated. A homogeneous AlN layer is formed at
             200&deg;C. Nitridation at higher temperatures creates a
             rough and non-homogeneous nitridated layer including both
             AlN and NO. Lowering the nitridation temperature to
             200&deg;C results in the improvement of the GaN structural
             and photoluminescence properties. The results are
             interpreted in the framework of a chemical model based on
             the competition between formation of AlN and NO whose
             adsorption/desorption equilibrium on the sapphire surface
             strongly depends on temperature},
   Key = {7160044}
}

@article{7160030,
   Author = {Doolittle, W.A. and Brown, A.S. and Kang, S. and Seo, S.W. and Huang, S. and Jokerst, N.M.},
   Title = {Recent advances in III-nitride devices grown on lithium
             gallate},
   Journal = {Phys. Status Solidi A (Germany)},
   Volume = {188},
   Number = {2},
   Pages = {491 - 5},
   Address = {Denver, CO, USA},
   Year = {2001},
   url = {http://dx.doi.org/10.1002/1521-396X(200112)188:2<491::AID-PSSA491>3.0.CO;2-B},
   Keywords = {diffusion;dislocation density;electron mobility;field effect
             transistors;gallium compounds;getters;III-V
             semiconductors;leakage currents;lithium compounds;photodiodes;semiconductor
             epitaxial layers;semiconductor growth;substrates;wide band
             gap semiconductors;},
   Abstract = {We discuss recent advances in the growth of III-nitride
             materials and devices, which include: (i) The reduction of
             the near-surface threading dislocation density in GaN on
             lithium gallate (LGO) to &ap;2&times;10<sup>7</sup>
             cm<sup>-2</sup>. (ii) The demonstration of GaN, 50&times;130
             &mu;m, metal-semiconductor-metal (MSM) photodiodes with
             extremely low leakage current, 0.11 pA at 2 V and 7.9 pA at
             60 V, and UV photoresponse at 308 nm and 20 V of 0.105 A/W.
             (iii) State of the art MSM devices have been successfully
             removed from the LGO substrate and attached to silicon
             wafers with no degradation in current characteristics. (iv)
             Demonstration of very thin, 0.7 &mu;m HFET structures, grown
             at a rapid rate of 0.9 &mu;m/h, with near state of the art
             room temperature 2DEG mobilities of 1365 cm<sup>2</sup>/Vs
             at a sheet charge of 9&times;10<sup>12</sup>
             cm<sup>-2</sup>. (v) The elimination of substrate impurity
             diffusion by inclusion of gettering buffers has also been
             demonstrated},
   Key = {7160030}
}

@article{02106878467,
   Author = {Brown, April S. and Jokerst, Nan Marie and Doolittle, Alan and Brooke, Martin and Kuech, Thomas F. and Seo, Sang-Woo and Kang, Sangbeom and Huang, Sa and Shen,
             Jeng-Jung},
   Title = {Heterogeneous integration: From substrate technology to
             active packaging},
   Journal = {Technical Digest - International Electron Devices
             Meeting},
   Pages = {197 - 200},
   Address = {Washington, DC},
   Year = {2001},
   url = {http://dx.doi.org/10.1109/IEDM.2001.979465},
   Keywords = {Semiconducting indium phosphide;Gallium nitride;Semiconducting
             silicon;Dissimilar materials;Substrates;MIM devices;High
             electron mobility transistors;Semiconducting indium gallium
             arsenide;CMOS integrated circuits;Heterojunctions;Molecular
             beam epitaxy;},
   Abstract = {Heterogeneous integration of dissimilar materials and
             devices is necessary for the continued advancement of
             electronic and optoelectronic systems. A range of processes
             has been developed in recent years that will enable system
             integration and advanced packaging. Herein, we outline our
             approaches towards heterogeneous integration.},
   Key = {02106878467}
}

@article{01416680007,
   Author = {Seo, S.W. and Lee, K.K. and Kang, S. and Huang, S. and Doolittle, W.A. and Jokerst, N.M. and Brown,
             A.S.},
   Title = {GaN metal-semiconductor-metal photodetectors grown on
             lithium gallate substrates by molecular-beam
             epitaxy},
   Journal = {Applied Physics Letters},
   Volume = {79},
   Number = {9},
   Pages = {1372 -},
   Year = {2001},
   url = {http://dx.doi.org/10.1063/1.1398320},
   Key = {01416680007}
}

@article{01496751276,
   Author = {Brown, A.S. and Doolittle, W.A. and Jokerst, N.M. and Kang,
             S. and Huang, S. and Seo, S.W.},
   Title = {Heterogeneous materials integration: Compliant substrates to
             active device and materials packaging},
   Journal = {Materials Science and Engineering B: Solid-State Materials
             for Advanced Technology},
   Volume = {87},
   Number = {3},
   Pages = {317 - 322},
   Year = {2001},
   url = {http://dx.doi.org/10.1016/S0921-5107(01)00730-9},
   Keywords = {Epitaxial growth;Crystal defects;Microelectronics;Substrates;Crystal
             lattices;},
   Abstract = {The drive for the heterogeneous integration of materials has
             led to significant advances in materials and device
             processing, and in the understanding of defect production
             and control during epitaxy. Heterogeneous integration is
             driven by microelectronic and packaging trends, and the
             advent of new materials, such as GaN, that do not possess
             native substrates. During the last decade, these objectives
             led to research in the development of compliant substrates.
             While the ideal compliant substrate concept and
             implementation may be flawed, this research has certainly
             advanced materials integration technology. This paper will
             provide an overview of recent results in compliant substrate
             experiments and interpretation, and the related advancement
             of materials and device integration and packaging deriving
             from some of this research. &copy; 2001 Published by
             Elsevier Science B.V.},
   Key = {01496751276}
}

@article{02427144459,
   Author = {Shen, Jeng-Jung and Brown, April S. and Wang, Yongqian and Wang, Zhong L.},
   Title = {Self-assembled quantum dot transformations via anion
             exchange},
   Journal = {Journal of Vacuum Science and Technology B: Microelectronics
             and Nanometer Structures},
   Volume = {19},
   Number = {4},
   Pages = {1463 - 1466},
   Address = {Tempe, AZ, United States},
   Year = {2001},
   url = {http://dx.doi.org/10.1116/1.1385916},
   Keywords = {Self assembly;Negative ions;Ion exchange;Annealing;Composition;Surface
             treatment;Nucleation;Temperature;Morphology;},
   Abstract = {Anion anneals have different effects on the quantum dot size
             distributions and vertical self-assembly. Phosphorus anneal
             at 300&deg;C can enhance the uniformity of the dot size
             distribution for both 2 and 3 ML multilayer structures. TEM
             shows vertically aligned dots structure after annealing at
             300&deg;C and a relaxed structure after annealing at
             350&deg;C. Arsenic anneal increases the dot size, decreases
             the dots density, and decreases the uniformity of quantum
             dots distribution.},
   Key = {02427144459}
}

@article{01376641976,
   Author = {Lee, K.K. and Doolittle, W.A. and Kim, T.-H. and Brown, A.S. and May, G.S. and Stock, S.R. and Zu Rong Dai and Wang,
             Z.L.},
   Title = {A comparative study of surface reconstruction of wurtzite
             GaN on (0 0 0 1) sapphire by RF plasma-assisted molecular
             beam epitaxy},
   Journal = {Journal of Crystal Growth},
   Volume = {231},
   Number = {1-2},
   Pages = {8 - 16},
   Year = {2001},
   url = {http://dx.doi.org/10.1016/S0022-0248(01)01307-0},
   Keywords = {Gallium nitride;Molecular beam epitaxy;Surface
             structure;Sapphire;Plasma applications;Reflection high
             energy electron diffraction;Transmission electron
             microscopy;Hall effect;Thin films;Semiconducting
             films;},
   Abstract = {We present a comprehensive study of the electrical, optical,
             and structural properties of wurtzite GaN films grown under
             various initial growth conditions The GaN films were grown
             directly on sapphire substrates using GaN nucleation layers
             by a Riber 3200 system with a radio-frequency plasma source.
             In situ reflection high-energy electron diffraction (RHEED)
             reveals a strong correlation between nucleation conditions,
             including the nitridation step, and the final surface
             reconstruction of the GaN thin film. Well-defined
             reconstruction patterns, primarily (2 &times; 2) and (4
             &times; 4), are observed for some of the nucleation
             conditions. Hall mobility, photoluminescence (PL), X-ray
             rocking curve data, and transmission electron microscopy
             (TEM) measurements are used to interpret the observed
             relationship. The results show that for the conditions
             investigated, an unreconstructed (1 &times; 1) surface after
             growth correlates with improved electrical, optical, and
             structural properties. The surface reconstructed thin film
             exhibits a strong columnar growth with inversion domains
             (IDs). We attribute the degraded characteristics to the
             presence of a mixture of both polarities in the films with
             reconstruction. &copy; 2001 Published by Elsevier Science
             B.V.},
   Key = {01376641976}
}

@article{01236528551,
   Author = {Kang, S. and Doolittle, W.A. and Lee, K.K. and Dai, Z.R. and Wang, Z.L. and Stock, S.R. and Brown, A.S.},
   Title = {Characterization of AlGaN/GaN structures on various
             substrates grown by radio frequency-plasma assisted
             molecular beam epitaxy},
   Journal = {Journal of Electronic Materials},
   Volume = {30},
   Number = {3},
   Pages = {156 - 161},
   Year = {2001},
   Keywords = {Heterojunctions;Semiconducting aluminum compounds;Gallium
             nitride;Semiconductor growth;Molecular beam epitaxy;Surface
             properties;Morphology;Atomic force microscopy;Grain size and
             shape;Surface roughness;Transmission electron
             microscopy;Interfaces (materials);},
   Abstract = {The structural properties and surface morphology of
             AlGaN/GaN structures grown on LiGaO<sub>2</sub> (LGO),
             sapphire, and hydride vapor phase epitaxy (HVPE)-grown GaN
             templates are compared. AlGaN grown on LGO substrates shows
             the narrowest x-ray full width at half maximum (FWHM) for
             both symmetric less than or equal 00.4&gt; and asymmetric
             less than or equal 10.5&gt; reflections. Atomic force
             microscopy (AFM) analysis on AlGaN surfaces on LGO
             substrates also show the smoothest morphology as determined
             by grain size and rms roughness. The small lattice mismatch
             of LGO to nitrides and easily achievable Ga-polarity of the
             grown films are the primary reasons for the smoother surface
             of AlGaN/GaN structure on this alternative substrate.
             Optimizations of growth conditions and substrate preparation
             results in step flow growth for an AlGaN/GaN structure with
             300 A thick Al<sub>0.25</sub>Ga<sub>0.75</sub>N on 2.4 &mu;m
             thick GaN. A high III/V flux ratio during growth and
             recently improved polishing of LGO substrates aids in
             promoting two dimensional step flow growth. The GaN
             nucleation layer directly on the LGO substrates showed no
             evidence of mixed phase cubic and hexagonal structure that
             is typically observed in the nucleation buffer on sapphire
             substrates. Cross-sectional high-resolution transmission
             electron microscopy (HRTEM) was performed on an AlGaN/GaN
             hetero-structure grown on LGO. The atomic arrangement at the
             AlGaN/GaN interface was sharp and regular, with locally
             observed monolayer and bilayer steps.},
   Key = {01236528551}
}

@article{01045602795,
   Author = {Namkoong, Gon and Doolittle, W. Alan and Brown, April
             S.},
   Title = {Incorporation of Mg in GaN grown by plasma-assisted
             molecular beam epitaxy},
   Journal = {Applied Physics Letters},
   Volume = {77},
   Number = {26},
   Pages = {4386 -},
   Year = {2000},
   url = {http://dx.doi.org/10.1063/1.1334942},
   Key = {01045602795}
}

@article{00085279621,
   Author = {Jokerst, Nan Marie and Brooke, Martin A. and Laskar, Joy and Wills, D. Scott and Brown, April S. and Ingram, Mary
             Ann},
   Title = {Building collaborative teams for multi-disciplinary
             educational projects in optoelectronics},
   Journal = {Proceedings of SPIE - The International Society for Optical
             Engineering},
   Volume = {3831},
   Pages = {25 - 35},
   Address = {Cancun, Mexico},
   Year = {2000},
   url = {http://dx.doi.org/10.1117/12.388713},
   Keywords = {Engineering education;Strategic planning;},
   Abstract = {Multidisciplinary team-oriented research is an effective
             method for investigating systems spanning multiple knowledge
             areas. Building on cross-functional team strategies
             developed for highly competitive industries, experts from a
             variety of technical domains can be brought together in a
             team and focused toward a common set of goals. However,
             building and maintaining these teams is an art that combines
             technical, social, and management skills, and requires
             proactive, conscious attention to enable and achieve
             positive results. This paper explores some avenues toward
             effective multi-disciplinary team building, and explores the
             educational potential associated with team-oriented
             research. The first step in team research is to identify an
             appropriate technical topic and to build a team around the
             technical areas associated with that topic. Consensus
             building is a key aspect to successful team research, as is
             the goal that every team member achieves research sub-goals
             as part of the larger effort. Team researchers optimally
             have a willingness to act in a cooperative rather than
             competitive fashion with team members, initially communicate
             with minimal jargon, meet regularly with other team members,
             share resources, and be personally responsible for their
             portions of the project. Additional strategies include
             recognizing and appreciating myriad levels of diversity in
             the group, valuing the cross disciplinary education of team
             members, inserting new technology when appropriately mature,
             and setting timelines and resource allocation using a
             consensus approach. Multi-disciplinary teams can address
             problems which are higher in complexity than those addressed
             by individuals, yet also offer the leveraging, and time and
             funding buffering that is so important in shortening
             research time cycles.},
   Key = {00085279621}
}

@article{01045593375,
   Author = {Jokerst, Nan M. and Brooke, Martin A. and Laskar, J. and Wills, D. Scott and Brown, A.S. and Vrazel, M. and Jung, S. and Joo, Y. and Chang, J.J.},
   Title = {Microsystem optoelectronic integration for mixed multisignal
             systems},
   Journal = {IEEE Journal on Selected Topics in Quantum
             Electronics},
   Volume = {6},
   Number = {6},
   Pages = {1231 - 1239},
   Year = {2000},
   url = {http://dx.doi.org/10.1109/2944.902172},
   Keywords = {VLSI circuits;CMOS integrated circuits;Semiconducting
             gallium compounds;Semiconducting silicon;Optical
             interconnects;MESFET devices;Computer networks;},
   Abstract = {The integration and packaging of optoelectronic devices with
             electronic circuits and systems has growing application in
             many fields, ranging from long to micro haul links. An
             exploration of the opportunities, integration technologies,
             and some recent results using thin-film device heterogeneous
             integration with Si CMOS VLSI and GaAs MESFET circuit
             technologies are presented in this paper. Applications
             explored herein include alignment tolerant optoelectronic
             links for network interconnections, smart pixel focal plane
             array processing through the integration of imaging arrays
             with sigma delta analog to digital converters underneath
             each pixel, and three-dimensional computational systems
             using vertical through-Si optical interconnections.},
   Key = {01045593375}
}

@article{00085291395,
   Author = {Lee, Kyeong K. and Doolittle, William A. and Brown, April S. and May, Gary S. and Stock, Stuart R.},
   Title = {Using statistical experimental design to investigate the
             role of the initial growth conditions on GaN epitaxial films
             grown by molecular beam epitaxy},
   Journal = {Journal of Vacuum Science and Technology B: Microelectronics
             and Nanometer Structures},
   Volume = {18},
   Number = {3},
   Pages = {1448 - 1452},
   Year = {2000},
   url = {http://dx.doi.org/10.1116/1.591401},
   Keywords = {Semiconducting gallium compounds;Film growth;Nucleation;Crystal
             orientation;Substrates;Molecular beam epitaxy;Statistical
             methods;Nitrogen;Hall effect;Carrier mobility;Atomic force
             microscopy;Reflection high energy electron
             diffraction;},
   Abstract = {The initial growth stage of GaN growth directly on basal
             plane sapphire substrate is investigated. Statistical
             experimental design is used for the optimization of
             processes with a large number of interwoven effects. The
             effects of growth conditions on electrical and surface
             morphology are analyzed by means of Hall mobility and atomic
             force microscopy. The interactions between Ga flux and
             nitrogen power during the buffer growth are
             discussed.},
   Key = {00085291395}
}

@article{00075228398,
   Author = {Kang, Sangbeom and Doolittle, W. Alan and Stock, Stuart R. and Brown, April S.},
   Title = {Comparison of AlGaN and GaN grown on various substrates:
             Step flow growth on LiGaO2 at low growth
             temperature},
   Journal = {Materials Science Forum},
   Volume = {338 (II},
   Pages = {1499 - 1502},
   Address = {Research Triangle Park, NC, USA},
   Year = {2000},
   Keywords = {Heterojunctions;Semiconducting aluminum compounds;Semiconducting
             gallium compounds;Vapor phase epitaxy;Semiconductor
             growth;Lithium compounds;Sapphire;Morphology;Dislocations
             (crystals);Crystal symmetry;Crystal lattices;Nitrides;},
   Abstract = {AlGaN/GaN heterostructures were grown on LiGaO<sub>2</sub>
             (LGO), sapphire, and hydride vapor phase epitaxy (HVPE)
             grown GaN substrates. Structural properties and surface
             morphology of each film was compared. LGO substrates
             produced the lowest FWHM values for both symmetric [Left
             Angle Bracket] 00.4 [Right Angle Bracket] and asymmetric
             [Left Angle Bracket] 10.5 [Right Angle Bracket] reflections.
             The films grown on LGO substrate also showed the best
             morphology. The small lattice mismatch of LGO to nitrides
             and Ga-polarity of grown films could be the primary reason
             for the smoother surface of AlGaN/GaN structure on LGO
             substrates. In developing the HFET structure on the LGO
             substrate, we have observed step flow growth in a structure
             with 300 angstroms thick Al<sub>0.25</sub>Ga<sub>0.75</sub>N
             on 2.4 &micro;m thick GaN, which is very similar to the
             films grown by MOCVD. A high III/V flux ratio during growth
             and recently improved polishing of LGO substrates may have
             aided in promoting two dimensional step flow
             growth.},
   Key = {00075228398}
}

@article{00045142146,
   Author = {Kim, Tong-Ho and Brown, April S. and Metzger, Robert
             A.},
   Title = {Electrical properties of InAlAs/InAsxP1-x/InP
             composite-channel modulation-doped structures grown by solid
             source molecular beam epitaxy},
   Journal = {Journal of Electronic Materials},
   Volume = {29},
   Number = {2},
   Pages = {215 - 221},
   Year = {2000},
   Keywords = {Semiconducting indium phosphide;Semiconductor
             doping;Molecular beam epitaxy;X ray diffraction
             analysis;Semiconductor quantum wells;Carrier
             mobility;Surface roughness;},
   Abstract = {We report on the electrical characteristics of the
             two-dimensional electron gas (2DEG) formed in an
             InAlAs/InAs<sub>x</sub>P<sub>1-x</sub>/InP pseudomorphic
             composite-channel modulation-doped (MD) structure grown by
             solid source (arsenic and phosphorus) molecular beam epitaxy
             (SSMBE). The As composition, x, of strained
             InAs<sub>x</sub>P<sub>1-x</sub> was determined by x-ray
             diffraction analysis of InP/InAs<sub>x</sub>P<sub>1-x</sub>/InP
             multi-quantum wells (MQWs) with compositions of x = 0.14 to
             x = 0.72. As the As composition increases, the room
             temperature sheet resistance of InAlAs/InAs<sub>x</sub>P<sub>1-x</sub>/InP
             composite-channel MD structures grown over a range of As
             compositions decreased from 510 to 250 &Omega;/cm<sup>2</sup>,
             resulting from the greater 2DEG confinement and lower
             electron effective mass in the InAs<sub>x</sub>P<sub>1-x</sub>
             channel as x increases. The influence of growth conditions
             and epitaxial layer designs on the 2DEG mobility and
             concentration were investigated using 300 K and 77 K Hall
             measurements. As the exposure time of the As<sub>4</sub>
             flux on the growth front of InAs<sub>x</sub>P<sub>1-x</sub>
             increased during growth interruptions, the 2DEG mobility, in
             particular the 77 K mobility, was considerably degraded due
             to increased roughness at the InAlAs/InAs<sub>x</sub>P<sub>1-x</sub>
             interface. For the InAlAs/InAs<sub>0.6</sub>P<sub>0.4</sub>/InP
             composite-channel MD structure with a spacer thickness of 8
             nm, the room temperature 2DEG mobility and density were 7200
             cm<sup>2</sup>/Vs and 2.5&times;10<sup>12</sup>
             cm<sup>-2</sup>, respectively. These results show the great
             potential of the InAlAs/InAs<sub>x</sub>P<sub>1-x</sub>/InP
             pseudomorphic composite-channel MD heterostructure for high
             frequency, power device applications.},
   Key = {00045142146}
}

@article{6869462,
   Author = {Gon Namkoong and Doolittle, W.A. and Sangbeom Kang and Huang
             Sa and Brown, A.S. and Stock, S.R.},
   Title = {Low temperature nitridation combined with high temperature
             buffer annealing for high quality GaN grown by
             plasma-assisted MBE},
   Journal = {MRS Internet J. Nitride Semicond. Res. (USA)},
   Volume = {5S1},
   Year = {2000},
   Keywords = {annealing;gallium compounds;grain size;III-V
             semiconductors;molecular beam epitaxial growth;nitridation;plasma
             deposition;wide band gap semiconductors;},
   Abstract = {The effect of the initial nitridation of the sapphire
             substrate on the GaN crystal quality as a function of
             substrate temperature was studied. GaN layers were grown by
             plasma-assisted molecular beam epitaxy (MBE) on sapphire
             substrates nitridated at different substrate temperatures. A
             strong improvement in the GaN crystal quality was observed
             at 100&deg;C nitridation temperature. Symmetric (0004) and
             asymmetric (10-5) full widths at half maximum (FWHM) of the
             X-ray rocking curves were 136 and 261 arcsec, respectively.
             This compares to an X-ray rocking curve full width at half
             maximum of 818 arcsec (0004) for conventional MBE buffer
             conditions. For our conventional buffer conditions, sapphire
             substrates were exposed to a N plasma at temperatures above
             500&deg;C for 10 min and then 25-50 nm buffers were
             deposited without annealing at high temperature. The low
             temperature nitridation also shows an enhancement of the
             lateral growth of the GaN, resulting in larger grain sizes.
             The largest grain size achieved was approximately 2.8 &mu;m,
             while the average grain size was approximately 2.4 &mu;m at
             100&deg;C nitridation temperature},
   Key = {6869462}
}

@article{00115391371,
   Author = {Brown, April S. and Doolittle, W. Alan},
   Title = {Status and promise of compliant substrate
             technology},
   Journal = {Applied Surface Science},
   Volume = {166},
   Number = {1},
   Pages = {392 - 398},
   Year = {2000},
   url = {http://dx.doi.org/10.1016/S0169-4332(00)00455-4},
   Keywords = {Semiconducting glass;Semiconducting gallium
             compounds;Semiconductor growth;Chemical bonds;},
   Abstract = {Compliant substrates offer significant promise as a new
             approach for strain management in semiconductors. The
             primary application is to produce device-quality highly
             mismatched materials on dissimilar substrates. Various
             implementations and processes for achieving substrate
             compliancy have been proposed and demonstrated. These
             include the use of twist-, glass-, and metal-bonds, and the
             use of engineered templates realized with laterally oxidized
             Al-containing alloys. A recent focus in our work has been on
             the growth of GaN on a novel and easily removable substrate
             - lithium gallate - for regrowth on a bonded GaN
             template.},
   Key = {00115391371}
}

@article{00085299636,
   Author = {Brown, April S. and Doolittle, W. Alan and Kang, Sangbeom and Shen, Jeng-Jung and Wang, Z.L. and Dai,
             Z.},
   Title = {Growth of GaN on lithium gallate (LiGaO2)
             substrates for material integration},
   Journal = {Journal of Electronic Materials},
   Volume = {29},
   Number = {7},
   Pages = {894 - 896},
   Address = {Lake Buena Vista, FL, USA},
   Year = {2000},
   Keywords = {Semiconductor growth;Lithium compounds;Substrates;Strain;Bonding;Thermal
             stress;Relaxation processes;Dissimilar materials;Crystal
             lattices;},
   Abstract = {Compliant substrates offer a new approach for strain
             management in semiconductors. Various implementations and
             processes for achieving substrate compliancy have been
             proposed and demonstrated. These include the use of twist-,
             glass-, and metal-bonds. A recent focus in our work has been
             on the growth of GaN on a novel and easily removable
             substrate-lithium gallate-for the ultimate regrowth on a
             bonded GaN template. The bonding technology is important to
             reduce thermal stresses during the regrowth step. Herein, we
             focus on the understanding of the growth of GaN on lithium
             gallate.},
   Key = {00085299636}
}

@article{6986282,
   Author = {Jokerst, N. and Brooke, M.A. and Laskar, J. and Wills, D. and Brown, A.S. and Vendier, O. and Bond, S.W. and Cross,
             J.B. and Vrazel, M. and Thomas, M. and Lee, M. and Jung, S. and Joo, Y. and Chang, J.J.},
   Title = {Smart photonics: optoelectronics integrated with Si CMOS
             VLSI circuits},
   Journal = {Proc. SPIE - Int. Soc. Opt. Eng. (USA)},
   Volume = {4109},
   Pages = {241 - 51},
   Address = {San Diego, CA, USA},
   Year = {2000},
   Keywords = {integrated logic circuits;integrated optics;integrated
             optoelectronics;optoelectronic devices;},
   Abstract = {Smart photonics, the integration of optoelectronic devices
             with electronic circuits and systems, has growing
             applications in many fields, one of which is computing. An
             exploration of the opportunities, integration technologies,
             and some recent results using thin film optoelectronic and
             electronic device integration with Si CMOS VLSI and GaAs
             MESFET technologies are presented herein. Applications
             explored herein include low cost alignment tolerant
             optoelectronic interconnection links for network
             interconnections, smart focal plane array processing through
             the integration of imaging arrays with sigma delta analog to
             digital converters underneath each pixel, and three
             dimensional computational systems using vertical through-Si
             optical interconnections},
   Key = {6986282}
}

@article{01506759453,
   Author = {Shen, J.-J. and Kim, T.-H. and Brown, A.S.},
   Title = {Growth and characterization of InGaAs/AlInAs HEMT structures
             on oxide-bonded InGaAs substrates},
   Journal = {IEEE International Symposium on Compound Semiconductors,
             Proceedings},
   Pages = {131 - 135},
   Address = {Monterey, CA},
   Year = {2000},
   url = {http://dx.doi.org/10.1109/ISCS.2000.947142},
   Keywords = {High electron mobility transistors;Semiconducting indium
             gallium arsenide;Electric properties;Electron
             mobility;Morphology;Lattice constants;X ray
             analysis;},
   Abstract = {InGaAs/AlInAs HEMT structures have been grown on
             oxide-bonded InGaAs substrates. De-oxidation and growth
             conditions are developed that enable good electrical
             properties. The highest electron mobility obtained was 7258
             cm<sup>2</sup>/V at 300K. The surface morphology showed
             undulations. X-ray rocking curve analysis shows differences
             in lattice constants between the samples grown on control
             substrates and the oxide-bonded substrates.},
   Key = {01506759453}
}

@article{99094798346,
   Author = {Matyi, R.J. and Doolittle, W.A. and Brown,
             A.S.},
   Title = {High resolution X-ray diffraction analyses of
             GaN/LiGaO2},
   Journal = {Journal of Physics D: Applied Physics},
   Volume = {32},
   Number = {10A},
   Pages = {61-64 -},
   Address = {Durham, UK},
   Year = {1999},
   url = {http://dx.doi.org/10.1088/0022-3727/32/10A/313},
   Keywords = {Semiconducting gallium compounds;Lithium compounds;Molecular
             beam epitaxy;Epitaxial growth;Crystal structure;Temperature;},
   Abstract = {Lithium gallate (LiGaO<sub>2</sub>) is gaining increasing
             attention as a potential substrate for the growth of the
             important semiconductor GaN. In order to better understand
             this material we have performed high-resolution double- and
             triple-axis x-ray diffraction analyses of both the starting
             LiGaO<sub>2</sub> and GaN/LiGaO<sub>2</sub> following
             epitaxial growth. A high-resolution triple-axis reciprocal
             space map of the substrate showed a sharp, well-defined
             crystal truncation rod and a symmetric streak of intensity
             perpendicular to q<sub>002</sub>, suggesting high structural
             quality with mosaic spread. Triple-axis scans following GaN
             growth showed (1) the development of isotropic diffuse
             scatter around the LiGaO<sub>2</sub> (002) reflection, (2)
             the presence of a semi-continuous intensity streak between
             the LiGaO<sub>2</sub> (002) and GaN (0002) reflections, and
             (3) a compact pattern of diffuse scatter around the GaN
             (0002) reflection that becomes increasingly anisotropic as
             the growth temperature is increased. These results suggest
             that LiGaO<sub>2</sub> permits the epitaxial growth of GaN
             with structural quality that may be superior to that
             observed when growth is performed on SiC or
             Al<sub>2</sub>O<sub>3</sub>.},
   Key = {99094798346}
}

@article{99104871880,
   Author = {Kim, T.-H. and Brown, A.S. and Metzger, R.A.},
   Title = {Optical and structural properties of strained
             InAlAs/InAsxP1-x multi-quantum wells
             grown by solid source molecular beam epitaxy},
   Journal = {Journal of Applied Physics},
   Volume = {86},
   Number = {5},
   Pages = {2622 - 2627},
   Year = {1999},
   url = {http://dx.doi.org/10.1063/1.371101},
   Keywords = {Heterojunctions;Semiconducting indium phosphide;Photoluminescence;Molecular
             beam epitaxy;Strain;X ray crystallography;Semiconductor
             growth;},
   Abstract = {The growth of InP/InAs<sub>x</sub>P<sub>1-x</sub> and
             InAlAs/InAs<sub>x</sub>P<sub>1-x</sub> heterostructures was
             studied using P and As sources. The As<sub>4</sub> flux is
             incorporated much less efficiently into higher As percentage
             InAsP due to the higher strain in the grown InAsP film. The
             InP/InAsP multiple quantum wells showed photoluminescence at
             full width at half maximums of 12-19 meV at 4.2
             K.},
   Key = {99104871880}
}

@article{99124946441,
   Author = {Dagnall, G. and Brown, A.S. and Stock, S.R.},
   Title = {Arsenic incorporation in InAsP/InP quantum
             wells},
   Journal = {Journal of Electronic Materials},
   Volume = {28},
   Number = {10},
   Pages = {1108 - 1110},
   Year = {1999},
   Keywords = {Semiconducting indium phosphide;Arsenic;Molecular beam
             epitaxy;Semiconductor growth;},
   Abstract = {InAsP/InP multiquantum well structures were grown by solid
             source molecular beam epitaxy using either As<sub>2</sub> or
             As<sub>4</sub> over a substrate temperature range of 420-535
             &deg;C. All quantum wells had similar arsenic compositions
             with a 2.2% standard deviation regardless of arsenic species
             or growth temperature. This temperature insensitivity of
             arsenic incorporation in InAsP is in sharp contrast to
             InGaAsP in which arsenic composition is very sensitive to
             both substrate temperature and gallium percentage in the
             compound. The insensitivity of arsenic incorporation in
             InAsP to substrate temperature may result from growth in a
             phosphorus rich condition with indium as the only available
             cation.},
   Key = {99124946441}
}

@article{99124935595,
   Author = {Dagnall, Georgiana and Shen, Jeng-Jung and Kim, Tong-Ho and Metzger, Robert A. and Brown, April S. and Stock, Stuart
             R.},
   Title = {Solid source MBE growth of InAsP/InP quantum
             wells},
   Journal = {Journal of Electronic Materials},
   Volume = {28},
   Number = {8},
   Pages = {933 - 938},
   Year = {1999},
   Keywords = {Semiconducting indium compounds;Molecular beam
             epitaxy;Substrates;Crystal structure;Dimers;Temperature;X
             ray diffraction analysis;Arsenic;Photoluminescence;Surface
             roughness;Atomic force microscopy;},
   Abstract = {Strained InAsP multiquantum wells (MWQs) were grown on
             InP(100) substrates by solid source molecular beam epitaxy
             and were characterized to relate structural and optical
             quality to growth conditions. The multiquantum wells were
             grown using either dimer or tetramer arsenic (As<sub>2</sub>
             or As<sub>4</sub>) over the substrate temperature range of
             420-535&deg;C. &theta;-2&theta; x-ray diffraction
             measurements showed only slight differences between arsenic
             compositions in the quantum wells grown with As<sub>2</sub>
             or As<sub>4</sub>. 300K and 8K photoluminescence full width
             at half max (FWHM) decreased at higher growth temperatures
             regardless of the arsenic species used. The 8K
             photoluminescence FWHM and the surface roughness measured by
             atomic force microscopy are found to be less sensitive to
             substrate growth temperature for the multiquantum wells
             growth with As<sub>2</sub> as opposed to
             As<sub>4</sub>.},
   Key = {99124935595}
}

@article{00024997668,
   Author = {Doolittle, W.A. and Brown, A.S.},
   Title = {Compliant substrate processes},
   Journal = {Materials Research Society Symposium - Proceedings},
   Volume = {570},
   Pages = {225 - 234},
   Address = {San Frnacisco, CA, USA},
   Year = {1999},
   Keywords = {Substrates;Dissimilar materials;Semiconductor growth;Band
             structure;Strain rate;Semiconductor device
             manufacture;Epitaxial growth;Crystal defects;Thermal
             expansion;Transmission electron microscopy;X ray diffraction
             analysis;},
   Abstract = {Recent results indicate that compliant substrates offer
             significant promise as a new approach for strain management
             in semiconductors. The potential applications include 1) the
             growth of device-quality highly mismatched materials on
             dissimilar substrates, and 2) the lateral control of
             material properties resulting from the effects of strain on
             bandstructure and/or growth dynamics. A significant amount
             of research in this area is dedicated to the reduction of
             extrinsic processing effects resulting from compliant
             substrate fabrication, and the development of simple models
             for understanding the observed reduction in defect density
             and/or strain in the epitaxial films grown on compliant
             substrates. A recent focus in our work has been on the
             growth of GaN on a novel and easily removable substrate -
             lithium gallate- for the regrowth on a bonded GaN template.
             The first step in this approach is the optimization of the
             growth of GaN on lithium gallate. In addition, this approach
             requires the use of an appropriate bonding layer to reduce
             the strain or defect production during growth due to
             coefficient of thermal expansion mismatches between the GaN
             sample and the handle wafer. Our work in this area will be
             highlighted in the context of an overview of various
             compliant substrate approaches and current results that
             indicate their efficacy.},
   Key = {00024997668}
}

@article{99084751765,
   Author = {Dagnall, G. and Stock, S.R. and Brown, A.S.},
   Title = {Growth of InAsP/InP quantum wells by solid source MBE on
             misoriented and exact InP (1 1 1)B: Substrate temperature
             and arsenic species effects},
   Journal = {Journal of Crystal Growth},
   Volume = {201-202},
   Pages = {242 - 247},
   Address = {Cannes},
   Year = {1999},
   url = {http://dx.doi.org/10.1016/S0022-0248(98)01330-X},
   Keywords = {Semiconductor quantum wells;Semiconducting indium
             phosphide;Molecular beam epitaxy;Substrates;Crystal
             orientation;X ray diffraction analysis;Atomic force
             microscopy;Photoluminescence;},
   Abstract = {Two series of strained InAsP/InP multiquantum wells (MQWs)
             were grown by solid source molecular beam epitaxy (SSMBE)
             simultaneously on Fe-doped InP substrates with a growth
             orientation of either (1 0 0), exact (1 1 1)B, and (1 1 1)B
             misoriented 1&deg; toward [Left Angle Bracket] -2 1 1 [Right
             Angle Bracket] . The MQWs were grown using either dimer or
             tetramer arsenic (As<sub>2</sub> or As<sub>4</sub>) over a
             substrate temperature range of 420-535 &deg;C. The
             &theta;-2&theta; X-ray diffraction measurements, the atomic
             force microscopy (AFM) images of the surfaces, and the 8 K
             photoluminescence (PL) full-width at half-maximum (FWHM)
             values of the (1 1 1)B samples showed general improvement in
             the samples' material properties with increasing substrate
             temperature. While the X-ray diffraction measurements and
             the AFM images showed little difference between
             As<sub>2</sub> and As<sub>4</sub>, the 8 K PL FWHM values
             varied greatly with respect to the arsenic species used. The
             exact (1 1 1)B samples had narrower 8 K PL FWHM values when
             grown with As<sub>2</sub>. For growth on misoriented (1 1
             1)B InP, the 8 K FWHM values narrowed with As<sub>4</sub>.
             The misoriented (1 1 1)B samples had the narrowest 8 K PL
             FWHM when grown with As<sub>4</sub> at a temperature of 520
             &deg;C; the exact (1 1 1)B at 495 &deg;C when grown with
             As<sub>2</sub>.},
   Key = {99084751765}
}

@article{04057901360,
   Author = {Kang, Sangbeom and Doolittle, William A. and Brown, April S. and Stock, Stuart R.},
   Title = {Electrical and structural characterization of
             AlxGa1-xN/GaN heterostructures grown
             on LiGaO2 substrates},
   Journal = {Applied Physics Letters},
   Volume = {74},
   Number = {22},
   Pages = {3380 - 3382},
   Year = {1999},
   url = {http://dx.doi.org/10.1063/1.123351},
   Abstract = {In this letter, we report on the properties of a
             Al<sub>x</sub>Ga<sup>1-x</sup>N/GaN heterostructure grown on
             LiGaO<sub>2</sub>. A two-dimensional electron gas (2DEG) is
             observed with mobility of 731 cm<sup>2</sup>/V s at room
             temperature and 2166 cm<sup>2</sup>/V s at 77 K. A
             comparison of the structural quality of the heterostructure
             as determined by x-ray diffraction shows significant
             improvement in comparison to a similar structure grown on a
             sapphire substrate. Secondary ion mass spectroscopy analysis
             indicates that lithium diffuses into the GaN during growth.
             The concentration decreases by two orders of magnitude from
             the substrate to the surface in a 0.8 &mu;m thick GaN film.
             The enhancement of the mobility of the 2DEG compared to that
             of electrons in a uniformly doped film is due, in part, to
             the proximity of the 2DEG to the film surface, where the Li
             concentration is lower. In addition, we believe that the
             surface roughness plays a role in the mobility of the 2DEG.
             Despite these extrinsic factors, the good conductivity of
             the 2DEG shows the promise of LiGaO<sub>2</sub> as a
             substrate for device-quality GaN. &copy; 1999 American
             Institute of Physics.},
   Key = {04057901360}
}

@article{00025003964,
   Author = {Jokerst, Nan Marie and Brooke, Martin A. and Laskar, Joy and Wills, D. Scott and Brown, April S. and Vendier, Olivier and Bond, Steven and Cross, Jeffrey and Vrazel, Michael and Thomas, Mikkel and Lee, Myunghee and Jung, Sungyung and Joo,
             Yoong Joon and Chang, Jae Joon},
   Title = {Smart photonics: Optoelectronics integrated onto Si CMOS
             circuits},
   Journal = {Conference Proceedings - Lasers and Electro-Optics Society
             Annual Meeting-LEOS},
   Volume = {2},
   Pages = {423 - 424},
   Address = {San Francisco, CA, USA},
   Year = {1999},
   url = {http://dx.doi.org/10.1109/LEOS.1999.811779},
   Keywords = {Silicon wafers;Thin film circuits;CMOS integrated
             circuits;VLSI circuits;Optical links;Optical
             interconnects;Electronics packaging;},
   Abstract = {Smart photonics, or the integration of optoelectronic (OE)
             devices and links with circuitry such as Si complementary
             metal oxide semiconductor (CMOS) very large scale integrated
             (VLSI) circuits, can yield both advanced optical links and
             possibly integrated solutions for optoelectronic interfaces
             and interconnections to standard electronic systems. Coupled
             with alignment tolerant designs, these implementations may
             yield cost effective solutions which are compatible and
             integrable with electronic systems. The options in stacking
             of thin films circuit or in the vertical optical
             interconnection of Si CMOS circuits using through-Si optical
             signals are explored in the context of hybrid thin film
             integration and packaging.},
   Key = {00025003964}
}

@article{98054210657,
   Author = {Kropewnicki, Thomas J. and Doolittle, W. Alan and Carter-Coman, Carrie and Kang, Sangboem and Kohl, Paul A. and Jokerst, Nan Marie and Brown, April S.},
   Title = {Selective wet etching of lithium gallate},
   Journal = {Journal of the Electrochemical Society},
   Volume = {145},
   Number = {5},
   Pages = {88-90 -},
   Year = {1998},
   Keywords = {Etching;Crystal lattices;Semiconductor growth;Substrates;Thin
             films;Film growth;Semiconducting films;},
   Abstract = {Lithium gallate (LGO) is an attractive, near lattice matched
             substrate for the growth of GaN. In addition, LGO substrates
             provide a convenient route to forming thin films of GaN as
             used in substrate removal or lift-off processes. We report
             the wet etching of LGO substrates for the production of GaN
             thin films. Two face-selective LGO etches have been used for
             the processing of substrates. The etch rate of the cation
             face is reported here for the first time and is 0.25 &mu;m
             min<sup>-1</sup> at 50 &deg;C. The etching solution is safe
             and benign to most materials including metallic
             bonding.},
   Key = {98054210657}
}

@article{99024581247,
   Author = {Shen, Jeng-Jung and Jokerst, Nan Marie and Brown, April
             S.},
   Title = {Compliant substrate strain modulated epitaxy for WDM laser
             arrays},
   Journal = {Conference Proceedings - Lasers and Electro-Optics Society
             Annual Meeting-LEOS},
   Volume = {1},
   Pages = {95 - 96},
   Address = {Orlando, FL, USA},
   Year = {1998},
   Keywords = {Wavelength division multiplexing;Epitaxial
             growth;Mathematical models;Substrates;Energy
             gap;Strain;Semiconductor device manufacture;},
   Abstract = {A new method for making a multiple-wavelength laser array by
             using strain modulated epitaxy is described. This growth
             process, which enables growth on a smooth substrate surface
             while enabling three dimensional band structure engineering,
             uses a bottom-patterned compliant substrate to modulate the
             strain variation on the epitaxial layer grown on the
             compliant substrate. To achieve the strain variation as a
             function of lateral position on the grown sample, a bottom
             patterned compliant substrate is used to vary the strain. A
             mathematical model has been developed for the realization of
             a multiple wavelength laser array using bottom patterned
             compliant substrates.},
   Key = {99024581247}
}

@article{98094378442,
   Author = {Brown, April S.},
   Title = {Compliant substrate technology: status and
             prospects},
   Journal = {Journal of Vacuum Science & Technology B: Microelectronics
             Processing and Phenomena},
   Volume = {16},
   Number = {4},
   Pages = {2308 -},
   Year = {1998},
   url = {http://dx.doi.org/10.1116/1.590166},
   Key = {98094378442}
}

@article{98074283107,
   Author = {Shen, Jeng-Jung and Brown, April S. and Metzger, Robert A. and Sievers, Barry and Bottomley, Lawrence and Eckert,
             Patrick and Carter, W. Brent},
   Title = {Modification of quantum dot properties via surface exchange
             and annealing: substrate temperature effects},
   Journal = {Journal of Vacuum Science & Technology B: Microelectronics
             Processing and Phenomena},
   Volume = {16},
   Number = {3},
   Pages = {1326 -},
   Year = {1998},
   url = {http://dx.doi.org/10.1116/1.590068},
   Key = {98074283107}
}

@article{98074283101,
   Author = {Doolittle, William A. and Kropewnicki, Tom and Carter-Coman,
             C. and Stock, S. and Kohl, Paul and Jokerst, Nan Marie and Metzger, Robert A. and Kang, Sangbeom and Lee, Kyeong Kyun and May, Gary and Brown, April S.},
   Title = {Growth of GaN on lithium gallate substrates for development
             of a GaN thin compliant substrate},
   Journal = {Journal of Vacuum Science & Technology B: Microelectronics
             Processing and Phenomena},
   Volume = {16},
   Number = {3},
   Pages = {1300 -},
   Year = {1998},
   url = {http://dx.doi.org/10.1116/1.590005},
   Key = {98074283101}
}

@article{6118067,
   Author = {Doolittle, W.A. and Kropewnicki, T. and Carter-Coman, C. and Stock, S. and Kohl, P. and Jokerst, N.M. and Metzger, R.A. and Kang, S. and Lee, K. and May, G. and Brown,
             A.S.},
   Title = {Growth of GaN on lithium gallate substrates for development
             of a GaN thin compliant substrate},
   Journal = {Nitride Semiconductors Symposium},
   Pages = {283 - 8},
   Address = {Boston, MA, USA},
   Year = {1998},
   Keywords = {doping profiles;electron mobility;gallium compounds;III-V
             semiconductors;molecular beam epitaxial growth;semiconductor
             epitaxial layers;semiconductor growth;surface
             structure;thermal conductivity;thermally stimulated
             desorption;wide band gap semiconductors;X-ray
             diffraction;X-ray photoelectron spectra;},
   Abstract = {The GaN on LGO system is the near perfect template (due to
             extremely high etch selectivity) for developing a viable
             thin film/compliant GaN substrate. Herein, we report on our
             efforts to grow GaN on LGO, including improvement of the
             microscopic surface morphology using pre-growth
             pretreatments. We also report on the first transferred thin
             film GaN substrate grown on LGO, transferred off of LGO, and
             mounted on GaAs. With this approach, (InAl)GaN alloys can be
             grown on thin GaN films, implementing a truly
             &ldquo;compliant&rdquo; substrate for the nitride alloy
             system. In addition, the flexibility of bonding to low cost
             Si, metal or standard ceramic IC packages is an attractive
             alternative to SiC and HVPE GaN substrates for optimizing
             cost verses thermal conductivity concerns. We have
             demonstrated high quality growth of GaN on LGO. X-ray
             rocking curves of 145 arc-seconds are obtained with only a
             0.28 &mu;m thick film. We present data on the out of plane
             crystalline quality of GaN/LGO material. Likewise, we show 2
             orders of magnitude improvement in residual doping
             concentration and factors of 4 improvement in electron
             mobility as compared to the only previously reported
             electrical data. We show substantial vendor to vendor and
             intra-vendor LGO material quality variations. We have also
             quantified the desorption of Ga and Li from the surface of
             LGO at typical growth temperatures using in situ desorption
             mass spectroscopy and XPS},
   Key = {6118067}
}

@article{6051166,
   Author = {Doolittle, W.A. and Kang, S. and Kropewnicki, T.J. and Stock, S. and Kohl, P.A. and Brown, A.S.},
   Title = {MBE growth of high quality GaN on LiGaO2},
   Journal = {J. Electron. Mater. (USA)},
   Volume = {27},
   Number = {8},
   Pages = {58 - 60},
   Year = {1998},
   Keywords = {crystal structure;electron mobility;gallium compounds;III-V
             semiconductors;lithium compounds;molecular beam epitaxial
             growth;reflection high energy electron diffraction;semiconductor
             epitaxial layers;semiconductor growth;wide band gap
             semiconductors;},
   Abstract = {We report on the growth of high structural quality (as
             determined by X-ray diffraction) GaN on a near lattice
             matched substrate, lithium gallate (LiGaO<sub>2</sub> or
             LGO). Low temperature growth conditions are described that
             result in very thin GaN films (&lt;0.3 &mu;m) with (0004)
             X-ray diffraction rocking curves full width at half maximum
             (FWHM) of 145 arc-sec and thicker films (1 &mu;m) resulting
             in 85 arcsec FWHM. The effect of growth temperature is
             examined and found to result in a broad minimum in X-ray
             FWHM around 690&deg;C. Detailed growth conditions and
             descriptions of the reflection high energy electron
             diffraction patterns observed during growth are given.
             Additionally, we report very highly resistive material and
             doped material with bulk electron mobilities in excess of
             100 cm<sup>2</sup>/V-sec},
   Key = {6051166}
}

@article{97093808201,
   Author = {Carter-Coman, Carrie and Bicknell-Tassius, Robert and Brown,
             April S. and Jokerst, Nan Marie},
   Title = {Compliant substrates for reduction of strain relief in
             mismatched overlayers},
   Journal = {Materials Research Society Symposium - Proceedings},
   Volume = {441},
   Pages = {361 - 366},
   Address = {Boston, MA, USA},
   Year = {1997},
   Keywords = {Thin films;Mathematical models;Strain;Semiconducting indium
             compounds;Semiconducting gallium arsenide;Thickness
             measurement;Stress relief;},
   Abstract = {Thin film compliant substrates can be used to extend the
             critical thickness in mismatched overlayers. A metastability
             model has been coupled with recent experimental strain
             relief data to determine the critical thickness of InGaAs
             epilayers grown on GaAs compliant substrates of variable
             thickness. The results of this model are also compared to
             other compliant substrate critical thickness
             models.},
   Key = {97093808201}
}

@article{97033577369,
   Author = {Carter-Coman, Carrie and Bicknell-Tassius, Robert and Benz,
             Rudolph G. and Brown, April S. and Jokerst, Nan
             Marie},
   Title = {Analysis of GaAs substrate removal etching with citric
             acid:H2O2 and NH4OH:H2O2
             for application to compliant substrates},
   Journal = {Journal of the Electrochemical Society},
   Volume = {144},
   Number = {2},
   Pages = {29-31 -},
   Year = {1997},
   Keywords = {Semiconducting gallium arsenide;Substrates;Removal;Thin
             films;Acids;Semiconducting aluminum compounds;Refractive
             index;},
   Abstract = {New properties associated with selective substrate removal
             have been observed in the application of this technique to
             GaAs thin film compliant substrates. Citric acid-and
             NH<sub>4</sub>OH-based etches are used to selectively etch
             the GaAs substrate and stop on an AlAs layer. The AlAs
             stop-etch layer is transformed into a layer that is almost
             twice as thick as the original layer, mismatched to the
             remaining GaAs epilayer, and has a refractive index around
             2.0. Replacement of the single AlAs stop etch layer with
             multiple thin AlGaAs stop etch layers is proposed to
             alleviate this problem.},
   Key = {97033577369}
}

@article{97083782542,
   Author = {Kromann, R.F. and Bicknell-Tassius, R.N. and Brown, A.S. and Dorsey, J.F. and Lee, K. and May, G.},
   Title = {Real-time monitoring of RHEED using machine vision
             techniques},
   Journal = {Journal of Crystal Growth},
   Volume = {175-176},
   Number = {pt 1},
   Pages = {334 - 339},
   Address = {Malibu, CA, USA},
   Year = {1997},
   url = {http://dx.doi.org/10.1016/S0022-0248(96)01184-0},
   Keywords = {Semiconductor growth;Reflection high energy electron
             diffraction;Real time systems;Digital signal
             processing;Computer vision;Computer software;Computer
             hardware;Spurious signal noise;Substrates;Semiconductor
             quantum wells;Semiconducting gallium arsenide;Semiconductor
             device structures;},
   Abstract = {A RHEED system has been developed that allows real-time
             monitoring of RHEED information throughout a multilayer
             growth run with rotation. The machine vision system consists
             of high-speed image capture hardware coupled with digital
             signal processing software that allows the real-time
             extraction/analysis of the RHEED intensity and width signals
             from the noise induced by substrate rotation. This system
             has been used to investigate the oxide desorption process on
             GaAs substrates, along with the specular spot intensity and
             width variation during the growth of a set of InGaAs/AlGaAs
             single quantum well structures with systematically varied
             process parameters. A strong correlation of the specular
             spot intensity with growth parameters has been observed. It
             is also shown that the observed specular spot intensity can
             be used to predict the quality of the InGaAs quantum well
             structures.},
   Key = {97083782542}
}

@article{97103852384,
   Author = {Carter-Coman, Carrie and Bicknell-Tassius, Robert and Brown,
             April S. and Jokerst, Nan Marie},
   Title = {Metastability modeling of compliant substrate critical
             thickness using experimental strain relief
             data},
   Journal = {Applied Physics Letters},
   Volume = {71},
   Number = {10},
   Pages = {1344 -},
   Year = {1997},
   url = {http://dx.doi.org/10.1063/1.119889},
   Key = {97103852384}
}

@article{97123959032,
   Author = {Carter-Coman, Carrie and Brown, April S. and Metzger, Robert
             A. and Jokerst, Nan Marie and Pickering, Jason and Bottomley, Lawrence A.},
   Title = {New mechanism for spontaneous nanostructure formation on
             bottom-patterned compliant substrates},
   Journal = {Applied Physics Letters},
   Volume = {71},
   Number = {19},
   Pages = {2773 -},
   Year = {1997},
   url = {http://dx.doi.org/10.1063/1.120129},
   Key = {97123959032}
}

@article{5774401,
   Author = {Carter-Coman, C. and Bicknell-Tassius, R. and Brown, A.S. and Jokerst, N.M.},
   Title = {Compliant substrates for reduction of strain relief in
             mismatched overlayers},
   Journal = {Thin Films - Structure and Morphology. Symposium},
   Pages = {361 - 6},
   Address = {Boston, MA, USA},
   Year = {1997},
   Keywords = {deformation;gallium arsenide;III-V semiconductors;indium
             compounds;interface phenomena;internal stresses;semiconductor
             epitaxial layers;substrates;},
   Abstract = {Thin film compliant substrates can be used to extend the
             critical thickness in mismatched overlayers. A metastability
             model has been coupled with recent experimental strain
             relief data to determine the critical thickness of InGaAs
             epilayers grown on GaAs compliant substrates of variable
             thickness. The results of this model are also compared to
             other compliant substrate critical thickness
             models},
   Key = {5774401}
}

@article{98064238354,
   Author = {Doolittle, W.A. and Kropewnicki, T. and Carter-Coman, C. and Stock, S. and Kohl, P. and Jokerst, N.M. and Metzger, R.A. and Kang, S. and Lee, K. and May, G. and Brown,
             A.S.},
   Title = {Growth of GaN on lithium gallate substrates for development
             of a GaN thin compliant substrate},
   Journal = {Materials Research Society Symposium - Proceedings},
   Volume = {482},
   Pages = {283 - 288},
   Address = {Boston, MA, USA},
   Year = {1997},
   Keywords = {Semiconductor growth;Substrates;Thin films;Morphology;Semiconductor
             doping;Desorption;Mass spectrometry;X ray photoelectron
             spectroscopy;Semiconducting films;Nitrides;},
   Abstract = {The GaN on LGO system is the near perfect template (due to
             extremely high etch selectivity) for developing a viable
             thin film/compliant GaN substrate. Herein, we report on our
             efforts to grow GaN on LGO, including improvement of the
             microscopic surface morphology using pre-growth
             pretreatments. We also report on the first transferred thin
             film GaN substrate grown on LGO, transferred off of LOG, and
             mounted on GaAs. With this approach, (InAl)GaN alloys can be
             grown on thin GaN films, implementing a truly `compliant'
             substrate for the nitride alloy system. In addition, the
             flexibility of bonding to low cost Si, metal or standard
             ceramic IC packages is an attractive alternative to SiC and
             HVPE GaN substrates for optimizing cost verses thermal
             conductivity concerns. We have demonstrated high quality
             growth of GaN on LGO. X-Ray rocking curves of 145
             arc-seconds are obtained with only a 0.28 &micro;m thick
             film. We present data on the out of plane crystalline
             quality of GaN/LGO material. Likewise, we show 2 orders of
             magnitude improvement in residual doping concentration and
             factors of 4 improvement in electron mobility as compared to
             the only previously reported electrical data. We show
             substantial vendor to vendor and intra-vendor LGO material
             quality variations. We have also quantified the desorption
             of Ga and Li from the surface of LGO at typical growth
             temperatures using in situ desorption mass spectroscopy and
             XPS.},
   Key = {98064238354}
}

@article{97083782684,
   Author = {Bicknell-Tassius, Robert N. and Lee, Kyeong and Brown, April
             S. and Dagnall, Georgianna and May, Gary},
   Title = {Growth of AlGaAs-InGaAs quantum-well structures by molecular
             beam epitaxy: Observation of critical interdependent effects
             utilizing the design of experiments approach},
   Journal = {Journal of Crystal Growth},
   Volume = {175-176},
   Number = {pt 2},
   Pages = {1131 - 1137},
   Address = {Malibu, CA, USA},
   Year = {1997},
   url = {http://dx.doi.org/10.1016/S0022-0248(96)01210-9},
   Keywords = {Semiconducting aluminum compounds;Semiconducting indium
             compounds;Molecular beam epitaxy;Semiconductor
             growth;Statistical methods;Semiconducting gallium
             arsenide;Semiconductor device structures;},
   Abstract = {The traditional approach to determine relationships between
             growth conditions and material properties has rested on the
             standard experimental approach - varying one parameter while
             holding all others constant. This technique does not
             effectively allow the observation of important interactions
             in complicated multilayer structures. Critical
             interdependent effects have been observed in the growth of
             AlGaAs/InGaAs quantum-well structures by molecular beam
             epitaxy (MBE). It is shown that statistical experimental
             design is an effective method for optimizing complex
             multilayer structures quickly. This technique is very useful
             for the optimization of processes with a large number of
             interdependent parameters and allows for the clear
             visualization and separation of complex interwoven effects.
             In the present work, we show for the first time the
             importance of the oxide desorption process for the optimal
             growth of AlGaAs-containing structures. The choice of an
             optimized oxide desorption process can lead to a decrease in
             the interfacial oxygen by almost two orders of
             magnitude.},
   Key = {97083782684}
}

@article{97083782520,
   Author = {Fournier, Francoise and Metzger, Robert A. and Doolittle,
             Alan and Brown, April S. and Carter-Coman, Carrie and Jokerst, Nan Marie and Bicknell-Tassius,
             Robert},
   Title = {Growth dynamics of InGaAs/GaAs by MBE},
   Journal = {Journal of Crystal Growth},
   Volume = {175-176},
   Number = {pt 1},
   Pages = {203 - 210},
   Address = {Malibu, CA, USA},
   Year = {1997},
   url = {http://dx.doi.org/10.1016/S0022-0248(96)00888-3},
   Keywords = {Semiconducting gallium arsenide;Semiconducting indium
             compounds;Semiconductor growth;Molecular beam epitaxy;Mass
             spectrometry;Activation energy;Thermal effects;Composition
             effects;Arsenic;Desorption;},
   Abstract = {The growth dynamics of the InGaAs/GaAs system have been
             investigated by desorption mass spectrometry (DMS). Indium
             desorption spectra indicate the presence of one or two
             desorption mechanisms depending on the V/III beam equivalent
             pressure ratio. The activation energy associated with one of
             the desorption processes is found to be 1.3 eV and
             independent of V/III ratio and arsenic species. Analysis of
             the decay curve allows the calculation of the indium surface
             population during growth. This population is compared for
             the different growth conditions investigated. Indium
             incorporation coefficient curves as a function of substrate
             temperature are presented. Indium incorporation is found to
             be enhanced using high V/III ratio and the arsenic dimer,
             As<sub>2</sub>.},
   Key = {97083782520}
}

@article{97053635881,
   Author = {Carter-Coman, Carrie and Bicknell-Tassius, Robert and Brown,
             April S. and Jokerst, Nan Marie},
   Title = {Analysis of In0.07Ga0.93As layers on
             GaAs compliant substrates by double crystal x-ray
             diffraction},
   Journal = {Applied Physics Letters},
   Volume = {70},
   Number = {13},
   Pages = {1754 - 1756},
   Year = {1997},
   url = {http://dx.doi.org/10.1063/1.118647},
   Keywords = {X ray crystallography;Semiconducting gallium
             arsenide;Crystal growth;Thin films;Bonding;Strain;Lattice
             constants;Calculations;Crystal defects;},
   Abstract = {Five consecutive InGaAs-GaAs growths are conducted
             simultaneously on GaAs-based thin film compliant substrates
             and thick reference substrates using double crystal x-ray
             diffraction. The In<sub>0.07</sub>Ga<sub>0.93</sub>As layers
             are grown to thicknesses below and above the conventional
             critical thickness. It is found that InGaAs films grown on
             the compliant substrates have a larger critical thickness
             and slow strain relief than InGaAs grown on conventional
             substrates.},
   Key = {97053635881}
}

@article{96013016387,
   Author = {Brown, A.S. and Bhattacharya, P. and Singh, J. and Zaman, P. and Sen, S. and Turco, F.},
   Title = {Dependence of Al0.48In0.52As Schottky
             diode properties on molecular beam epitaxial growth
             temperature},
   Journal = {Applied Physics Letters},
   Volume = {68},
   Number = {2},
   Pages = {220 -},
   Year = {1996},
   url = {http://dx.doi.org/10.1063/1.116466},
   Key = {96013016387}
}

@article{96083280775,
   Author = {Carter-Coman, Carrie and Brown, April S. and Bicknell-Tassius, Robert and Jokerst, Nan Marie and Allen,
             Mark},
   Title = {Strain-modulated epitaxy: a flexible approach to 3-D band
             structure engineering without surface patterning},
   Journal = {Applied Physics Letters},
   Volume = {69},
   Number = {2},
   Pages = {257 -},
   Year = {1996},
   url = {http://dx.doi.org/10.1063/1.117942},
   Key = {96083280775}
}

@article{96073255513,
   Author = {Carter-Coman, Carrie and Brown, April S. and Bicknell-Tassius, Robert and Jokerst, Nan Marie and Fournier, Francoise and Dawson, Douglas E.},
   Title = {Strain-modulated epitaxy: modification of growth kinetics
             via patterned, compliant substrates},
   Journal = {Journal of Vacuum Science & Technology B: Microelectronics
             Processing and Phenomena},
   Volume = {14},
   Number = {3},
   Pages = {2170 -},
   Year = {1996},
   url = {http://dx.doi.org/10.1116/1.588892},
   Key = {96073255513}
}

@article{97013489581,
   Author = {Cat, Huy H. and Gentile, Antonio and Eble, John C. and Lee,
             Myunghee and Vendier, Olivier and Joo, Young Joong and Wills, D. Scott and Brooke, Martin and Jokerst, Nan Marie and Brown, April S.},
   Title = {SIMPil: An OE integrated SIMD architecture for focal plane
             processing applications},
   Journal = {International Conference on Massively Parallel Processing
             Using Optical Interconnections (MPPOI), Proceedings},
   Pages = {44 - 52},
   Address = {Maui, HI, USA},
   Year = {1996},
   url = {http://dx.doi.org/10.1109/MPPOI.1996.559035},
   Keywords = {Digital signal processing;Thin film devices;Integrated
             optoelectronics;Image processing;Edge detection;Image
             compression;Computer architecture;},
   Abstract = {Focal plane processing applications present a growing
             computing need for portable telecomputing and videoputing
             systems. This paper demonstrates the integration of digital
             processing, analog interface circuitry, and thin film OE
             devices into a compact computing package. The SIMPil
             architecture provides a programmable, silicon efficient SIMD
             processor for effective execution of early image processing
             applications such as edge detection, convolution, and
             compression. Results from a demonstration SIMPil node are
             presented including its microarchitecture, and performance
             on image processing applications.},
   Key = {97013489581}
}

@article{96083301631,
   Author = {Carter-Coman, C. and Brown, A.S. and Jokerst, N.M. and Dawson, D.E. and Bicknell-Tassius, R. and Feng, Z.C. and Rajkumar, K.C. and Dagnall, G.},
   Title = {Strain accommodation in mismatched layers by molecular beam
             epitaxy: Introduction of a new compliant substrate
             technology},
   Journal = {Journal of Electronic Materials},
   Volume = {25},
   Number = {7},
   Pages = {1044 - 1048},
   Year = {1996},
   Keywords = {Substrates;Strain;Growth (materials);Kinetic
             theory;Epitaxial growth;Thin films;},
   Abstract = {Compliant substrates allow a new approach to the growth of
             strained epitaxial layers, in which part of the strain is
             accommodated in the substrate. In this article compliant
             substrates are discussed and a new compliant substrate
             technology based on bonded thin flim substrates is
             introduced. This technology has several advantages over
             previously published methods, including the ability to
             pattern both the top and bottom of the material. A new
             concept enabled by this compliant substrate technology,
             strain modulated epitaxy, will be introduced. Using this
             technique, the properties of the semiconductor material can
             be controlled laterally across a substrate. Results of two
             experiments are presented in which low composition
             In<sub>x</sub>Ga<sub>1-x</sub>As was grown by molecular beam
             epitaxy on GaAs compliant substrates at thicknesses both
             greater than and less than the conventional critical
             thickness. It was found that for t gt; t<sub>c</sub>, there
             was an inhibition of defect production in the epitaxial
             films grown on the compliant substrates as compared to those
             grown on conventional reference substrates. For t &lt;
             t<sub>c</sub>, photoluminescence and x-ray diffraction show
             the compliant substrates to be of excellent quality and
             uniformity as compared to conventional substrates.},
   Key = {96083301631}
}

@article{5324747,
   Author = {Gilbert, E.P. and Reynolds, P.A. and Brown, A.S. and White,
             J.W.},
   Title = {n-paraffin solid solutions: modification of phase separation
             with carbon number},
   Journal = {Chem. Phys. Lett. (Netherlands)},
   Volume = {255},
   Number = {4-6},
   Pages = {373 - 7},
   Year = {1996},
   url = {http://dx.doi.org/10.1016/0009-2614(96)00371-5},
   Keywords = {density;neutron diffraction;organic compounds;solid
             solutions;},
   Abstract = {Small-angle neutron scattering is used to monitor microphase
             formation in the solid state in equimolar binary hydrocarbon
             systems C<sub>n</sub>H<sub>2n+2</sub>:C<sub>m</sub>D<sub>2m+2</sub>
             for selected n=20-34 and m=36. Microphase formation is
             maximum for n=28 and negligible for n=20, 32 and 34. n=30 is
             found to be intermediate. These observations are explicable
             in terms of increasing molar volume differences between the
             two components forming the mixture. As the chain length
             difference increases, the formation of a lamellar microphase
             occurs in preference to a continuous solid solution until
             this is itself overtaken by two-phase separation},
   Key = {5324747}
}

@article{95062757008,
   Author = {Cat, Huy H. and Wills, D. Scott and Jokerst, Nan Marie and Brooke, Martin A. and Brown, April S.},
   Title = {Three-dimensional, massively parallel, optically
             interconnected silicon computational hardware and
             architectures for high-speed IR scene generation},
   Journal = {Proceedings of SPIE - The International Society for Optical
             Engineering},
   Volume = {2469},
   Pages = {141 - 145},
   Address = {Orlando, FL, USA},
   Year = {1995},
   url = {http://dx.doi.org/10.1117/12.210584},
   Keywords = {Optical interconnects;Performance;Thin films;Optoelectronic
             devices;Semiconducting silicon;Integrated circuits;Parallel
             processing systems;Semiconducting indium
             compounds;Manufacture;Testing;Cost effectiveness;},
   Abstract = {High frame rate infrared scene generation depends on high
             performance digital processors that are tightly coupled to
             infrared emitter arrays. Massively parallel image generation
             hardware can realize the type of high throughput, high frame
             rate processing that will characterize the next generation
             of scene generators. This work outlines projects in
             massively parallel, high throughput image generation
             hardware using thin film optoelectronic devices which are
             integrated directly onto low cost silicon integrated
             circuits. For basic scene generation, an array of thin film
             emitters are placed on top of digital single instruction
             stream, multiple data stream (SIMD) parallel processors to
             provide high performance focal plane generation in a
             monolithic system. For more complex scene generation, low
             cost stacked silicon integrated circuits, using
             through-silicon wafer optoelectronic channels for three
             dimensional interconnections, form an extremely dense, high
             throughput, three dimensional parallel processing system.
             Thin film InGaAsP devices, which operate at wavelengths to
             which silicon is transparent, are integrated on top of
             standard foundry silicon integrated circuits so that stacked
             processor chips can communicate vertically. High speed
             analog interface circuitry on the Si integrated circuits
             provides a high bandwidth link between the devices and the
             digital processing circuitry. This processing approach
             provides tremendous generality for high frame rate image
             generation applications in a compact system. Issues
             addressed include system interfacing, power management,
             manufacturing tolerances, testing and repair, and system
             cost and effectiveness.},
   Key = {95062757008}
}

@article{95052696922,
   Author = {Rosenbaum, Steven E. and Kormanyos, Brian K. and Jelloian,
             Linda M. and Matloubian, Mehran and Brown, April S. and Larson, Lawrence E. and Nguyen, Loi D. and Thompson, Mark A. and Katehi, Linda P.B. and Rebeiz, Gabriel
             M.},
   Title = {155- and 213-GHz AlInAs/GaInAs/InP HEMT MMIC
             oscillators},
   Journal = {IEEE Transactions on Microwave Theory and
             Techniques},
   Volume = {43},
   Number = {4 pt 2},
   Pages = {927 - 933},
   Address = {Ann Arbor, MI, USA},
   Year = {1995},
   url = {http://dx.doi.org/10.1109/22.375256},
   Keywords = {Monolithic microwave integrated circuits;Semiconducting
             gallium arsenide;Semiconducting aluminum
             compounds;Semiconducting indium phosphide;Electric
             charge;Gates (transistor);Semiconductor device
             manufacture;Micrometers;Electron beams;Slot
             antennas;Molecular beam epitaxy;High electron mobility
             transistors;},
   Abstract = {We report on the design and measurement of monolithic 155-
             and 213-GHz quasi-optical oscillators using
             AlInAs/GaInAs/InP HEMT's. These results are believed to be
             the highest frequency three-terminal oscillators reported to
             date. The indium concentration in the channel was 80% for
             high sheet charge and mobility. The HEMT gates were
             fabricated with self-aligned sub-tenth-micrometer
             electron-beam techniques to achieve gate lengths on the
             order of 50 nm and drain-source spacing of 0.25 &mu;m.
             Planar antennas were integrated into the fabrication process
             resulting in a compact and efficient quasi-optical
             Monolithic Millimeter-wave Integrated Circuit (MMIC)
             oscillator.},
   Key = {95052696922}
}

@article{94071336131,
   Author = {Brown, A.S. and Schmitz, A.E. and Nguyen, L.D. and Henige,
             J.A. and Larson, L.E.},
   Title = {Growth of high performance InxGa1-xAs
             (.52 < x <.9) - (Al.48In.52As)
             high electron mobility transistors by MBE},
   Journal = {IEEE International Conferece on Indium Phosphide and Related
             Materials},
   Pages = {263 - 266},
   Address = {Santa Barbara, CA, USA},
   Year = {1994},
   url = {http://dx.doi.org/10.1109/ICIPRM.1994.328217},
   Keywords = {Semiconducting indium compounds;Semiconductor
             growth;Electron transport properties;Semiconductor device
             structures;Molecular beam epitaxy;Semiconductor doping;Phase
             transitions;Crystal lattices;Gates (transistor);Relaxation
             processes;Electron transitions;High temperature
             operations;},
   Abstract = {The paper reports the successful attempts at significantly
             improving the electron mobility in thin channel, device
             structures with high two-dimensional electron gas (2DEG)
             concentrations. InGaAs-AlInAs modulation-doped structures
             were grown by MBE in a Riber 2300 system on (100)-oriented
             InP substrates. The highest room temperature mobility
             achieved to date for low sheet charge and high sheet charge
             samples were realized in a structure with a 10nm 80% In
             channel utilizing a stress-compensation prelayer.},
   Key = {94071336131}
}

@article{4620585,
   Author = {Schramm, J.E. and Hu, E.L. and Merz, J.L. and Brown, J.J. and Melendes, M.A. and Thompson, M.A. and Brown,
             A.S.},
   Title = {Highly selective reactive ion etch process for InP-based
             device fabrication using methane/hydrogen/argon},
   Journal = {J. Vac. Sci. Technol. B, Microelectron. Process. Phenom.
             (USA)},
   Volume = {11},
   Number = {6},
   Pages = {2280 - 3},
   Address = {San Diego, CA, USA},
   Year = {1993},
   url = {http://dx.doi.org/10.1116/1.586890},
   Keywords = {aluminium compounds;gallium arsenide;high electron mobility
             transistors;III-V semiconductors;indium compounds;sputter
             etching;},
   Abstract = {The etch rates of GaInAs and AlInAs were characterized using
             a mixture of methane, hydrogen, and argon as a function of
             self-bias voltage. Effectively infinite etch selectivity
             between GaInAs and AlInAs was found for voltages below 200
             V. This highly selective etch process was applied to the
             gate recess of a high electron mobility transistor device,
             and preliminary device measurements were
             made},
   Key = {4620585}
}

@article{4604389,
   Author = {Brown, J.J. and Brown, A.S. and Rosenbaum, S.E. and Schmitz,
             A.S. and Matloubian, M. and Larson, L.E. and Melendes, M.A. and Thompson, M.A.},
   Title = {Study of the dependence of Ga0.47In0.53As/Alx
             In1-xAs power HEMT breakdown voltage on Schottky
             layer design and device layout},
   Journal = {IEEE Trans. Electron Devices (USA)},
   Volume = {40},
   Number = {11},
   Pages = {2111 - 12},
   Address = {Santa Barbara, CA, USA},
   Year = {1993},
   url = {http://dx.doi.org/10.1109/16.239781},
   Keywords = {aluminium compounds;electric breakdown of solids;gallium
             arsenide;high electron mobility transistors;III-V
             semiconductors;indium compounds;power transistors;Schottky
             effect;solid-state microwave devices;},
   Abstract = {Summary form only given. A systematic study of the
             improvement of Ga<sub>0.47</sub>In<sub>0.53</sub>As/Al<sub>x</sub>
             In<sub>1-x</sub>As HEMT (high electron mobility transistor)
             breakdown voltage by varying the Schottky layer design and
             device geometry is presented. The HEMT structure
             investigated is a modulation-doped Ga<sub>0.47</sub>In<sub>0.53</sub>As/Al<sub>x</sub>
             In<sub>1-x</sub>As on InP device with a sheet of charge
             placed at the center of the 300 &Aring; wide channel. The
             device with a gate length of 0.5 &mu;m, a source-drain
             spacing of 5&mu;m, and an Al<sub>0.7</sub>In<sub>0.3</sub>As
             Schottky layer exhibited the best breakdown characteristics
             of |BV<sub>gd</sub>|=6.8 V and BV<sub>ds</sub>=7.8 V. The
             typical transistor had a maximum transconductance of 500
             mS/mm, a full channel current of 700 mA/mm for a gate bias
             of 0.4 V, and a current gain cutoff frequency of over 80
             GHz. The power performance was measured on 500-&mu;m-wide
             devices at 4 GHz and V<sub>ds</sub>=4.5 V. Under Class AB
             operating conditions, the output power density, power-added
             efficiency, and power gain were 0.45 W/mm, 59%, and 14.25
             dB, respectively},
   Key = {4604389}
}

@article{93040774349,
   Author = {Matloubian, Mehran and Brown, April S. and Nguyen, Loi D. and Melendes, Melissa A. and Larson, Lawrence E. and Delaney, Michael J. and Pence, John E. and Rhodes, Richard
             A. and Thompson, Mark A. and Henige, Joseph
             A.},
   Title = {High-power V-band AlInAs/GaInAs on InP HEMT's},
   Journal = {IEEE Electron Device Letters},
   Volume = {14},
   Number = {4},
   Pages = {188 - 189},
   Year = {1993},
   url = {http://dx.doi.org/10.1109/55.215155},
   Keywords = {Semiconducting indium phosphide;Semiconducting aluminum
             compounds;Semiconducting gallium compounds;},
   Abstract = {We report on the dc and RF performance of &delta;-doped
             channel AlInAs/GaInAs on InP power HEMT's. A 450-&mu;m-wide
             device with a gate length of 0.22 &mu;m has achieved an
             output power of 150 mW (at the 1-dB gain compression point)
             with power-added efficiency of 20% at 57 GHz. The device has
             a saturated output power of 200 mW with power-added
             efficiency of 17%. This is the highest output power measured
             from a single InP-based HEMT at this frequency, and
             demonstrates the feasibility of these HEMT's for high-power
             applications in addition to low-noise applications at V
             band.},
   Key = {93040774349}
}

@article{94061318557,
   Author = {Rosenbaum, Steven E. and Jelloian, Linda M. and Brown, April
             S. and Thompson, Mark A. and Matloubian, Mehran and Larson,
             Lawrence E. and Lohr, Ross and Kormanyos, Brian K. and Rebeiz, Gabriel M. and Katehi, Linda P.B.},
   Title = {213 GHz AlInAs/GaInAs/InP HEMT MMIC oscillator},
   Journal = {Technical Digest - International Electron Devices
             Meeting},
   Pages = {924 - 926},
   Address = {Washington, DC, USA},
   Year = {1993},
   url = {http://dx.doi.org/10.1109/IEDM.1993.347470},
   Keywords = {Equivalent circuits;Molecular beam epitaxy;Field effect
             transistors;Heterojunctions;Substrates;},
   Abstract = {We have fabricated submillimeter-wave MMIC oscillator
             circuits using AlInAs/GaInAs/ HEMTs on InP substrates, which
             have resulted in oscillators operating at fundamental
             frequencies of 155 and 213 GHz. These results are believed
             to be the highest frequency three-terminal oscillators
             reported to date.},
   Key = {94061318557}
}

@article{93101118299,
   Author = {Rosenbaum, Steven E. and Jelloian, Linda M. and Larson,
             Lawrence E. and Mishra, Umesh K. and Pierson, Deborah A. and Thompson, Mark S. and Liu, Takyiu and Brown, April
             S.},
   Title = {2-GHz three-stage AlInAs-GaInAs-InP HEMT MMIC low-noise
             amplifier},
   Journal = {IEEE Microwave and Guided Wave Letters},
   Volume = {3},
   Number = {8},
   Pages = {265 - 267},
   Year = {1993},
   url = {http://dx.doi.org/10.1109/75.242220},
   Keywords = {High electron mobility transistors;Monolithic integrated
             circuits;Microwave devices;Spurious signal noise;Signal to
             noise ratio;Semiconductor device manufacture;Semiconducting
             indium phosphide;Semiconducting aluminum
             compounds;Semiconducting gallium arsenide;Semiconductor
             device structures;Electric losses;Performance;},
   Abstract = {A three-stage monolithic microwave integrated circuit (MMIC)
             low-noise amplifier (LNA) has been fabricated using
             0.15-&mu;m gate-length InP-based (AlInAs-GaInAs) high
             electron mobility transistor (HEMT) technology. The LNA
             exhibited less than 0.5-dB noise figure and greater than
             35-dB gain from 2.25 to 2.5 GHz. The input and output return
             loss exceeded 15 dB across the band. These results are
             believed to be the best reported to date from a MMIC
             amplifier in this frequency range.},
   Key = {93101118299}
}

@article{93051596049,
   Author = {Brown, A.S. and Henige, J.A. and Schmitz, A.E. and Larson,
             L.E.},
   Title = {Effect of growth conditions on the electrical and optical
             properties of AlxIn1-xAs (0.48 < x
             < 0.7)-Ga0.47In0.53As
             heterostructures},
   Journal = {Applied Physics Letters},
   Volume = {62},
   Number = {1},
   Pages = {66 -},
   Year = {1993},
   url = {http://dx.doi.org/10.1063/1.108821},
   Key = {93051596049}
}

@article{94041255772,
   Author = {Matloubian, Mehran and Jelloian, Linda M. and Brown, April
             S. and Nguyen, Loi D. and Larson, Lawrence E. and Delaney,
             M.J. and Thompson, Mark A. and Rhodes, R.A. and Pence,
             J.E.},
   Title = {V-band high-efficiency high-power AlInAs/GaInAs/InP
             HEMT's},
   Journal = {IEEE Transactions on Microwave Theory and
             Techniques},
   Volume = {41},
   Number = {12},
   Pages = {2206 - 2210},
   Year = {1993},
   url = {http://dx.doi.org/10.1109/22.260707},
   Keywords = {Semiconducting indium phosphide;Performance;Microwave
             devices;Semiconductor device structures;Semiconductor
             doping;Gates (transistor);Concentration (process);Semiconducting
             aluminum compounds;Semiconducting gallium
             compounds;Molecular beam epitaxy;Semiconductor device
             manufacture;Ohmic contacts;},
   Abstract = {In this paper, we report on the state-of-the-art power
             performance of InP-based HEMT's at V-band. Power HEMT's were
             fabricated using two different material layer structures.
             The power performances of these HEMT's were measured at 59
             GHz. We were able to achieve an output power of 155 mW with
             4.9 dB gain, and power-added efficiency of 30 percent from a
             448-&mu;m-wide HEMT fabricated on a &delta;-doped channel
             layer structure. By using a double-doped layer structure, we
             were able to achieve an output power of 145 mW with 4.2 dB
             gain, and power-added efficiency of 24 percent. Output power
             of 288 mW with 3.6 dB gain and power-added efficiency of
             20.4 percent were obtained by power combining two of the
             &delta;-doped channel HEMT's. These combinations of output
             power and efficiency are the best reported to date for
             InP-based HEMT's, and are comparable to the best results
             reported for AlGaAs/InGaAs on GaAs pseudomorphic HEMT's at
             this frequency.},
   Key = {94041255772}
}

@article{93071032443,
   Author = {Matloubian, M. and Brown, A.S. and Nguyen, L.D. and Melendes, M.A. and Larson, L.E. and Delaney, M.J. and Thompson, M.A. and Rhodes, R.A. and Pence,
             J.E.},
   Title = {20-GHz high-efficiency AlInAs-GaInAs on InP power
             HEMT},
   Journal = {IEEE Microwave and Guided Wave Letters},
   Volume = {3},
   Number = {5},
   Pages = {142 - 144},
   Year = {1993},
   url = {http://dx.doi.org/10.1109/75.217211},
   Keywords = {Semiconducting aluminum compounds;Semiconducting indium
             compounds;Semiconducting gallium arsenide;Power
             amplifiers;Gain measurement;Electric power
             measurement;},
   Abstract = {A single stage 20-GHz power amplifier was developed using
             double-doped AlInAs-GaInAs on InP HEMT. Output power of 516
             mW (0.645 W/mm) with power-added efficiency of 47.1% with
             7.1-dB gain were obtained from an 800-&mu;m wide device. The
             device had a saturated output power of more than 560 mW (0.7
             W/mm). This is believed to be the highest combination of
             output power, power density, gain, and power-added
             efficiency reported for an InP-based FET at this
             frequency.},
   Key = {93071032443}
}

@article{94041266192,
   Author = {Larson, L.E. and Matloubian, M.M. and Brown, J.J. and Brown,
             A.S. and Rhodes, R. and Crampton, D. and Thompson,
             M.},
   Title = {AlInAs/GaInAs on InP HEMTs for low power supply voltage
             operation of high power-added efficiency microwave
             amplifiers},
   Journal = {Electronics Letters},
   Volume = {29},
   Number = {15},
   Pages = {1324 - 1326},
   Year = {1993},
   Keywords = {Microwave amplifiers;Semiconducting indium phosphide;Power
             amplifiers;Semiconducting aluminum compounds;Semiconducting
             gallium compounds;Performance;Millimeter waves;Electric
             power supplies to apparatus;},
   Abstract = {High power-added efficiency microwave power amplifier
             results are reported for AlInAs/GaInAs on InP HEMTs operated
             at relatively low power supply voltages (2.5-3 V). C-band
             power amplifiers are reported with power-added efficiencies
             as high as 67%, and output powers between 200 and 300 mW.
             This excellent performance at low power supply voltages is
             attributed to the high gain and low access resistances of
             the devices, which leads to a high drain efficiency despite
             the low power supply voltage.},
   Key = {94041266192}
}

@article{94061322240,
   Author = {Zakharov, N.D. and Liliental-Weber, Z. and Swider, W. and Brown, A.S. and Metzger, R.},
   Title = {Structure of Ga0.47In0.53As epitaxial
             layers grown on InP substrates at different
             temperatures},
   Journal = {Applied Physics Letters},
   Volume = {63},
   Number = {20},
   Pages = {2809 -},
   Year = {1993},
   url = {http://dx.doi.org/10.1063/1.110294},
   Key = {94061322240}
}

@article{93101087705,
   Author = {Matloubian, M. and Jelloian, L.M. and Brown, A.S. and Nguyen, L.D. and Larson, L.E. and Delaney, M.J. and Thompson, M.A. and Rhodes, R.A. and Pence,
             J.E.},
   Title = {V-band high-efficiency high-power AlInAs/GaInAs/InP
             HEMTs},
   Journal = {IEEE MTT-S International Microwave Symposium
             Digest},
   Volume = {2},
   Pages = {535 - 537},
   Address = {Atlanta, GA, USA},
   Year = {1993},
   url = {http://dx.doi.org/10.1109/MWSYM.1993.276882},
   Keywords = {Performance;Semiconducting indium phosphide;Semiconducting
             gallium compounds;Semiconductor device structures;},
   Abstract = {In this paper we report on the stage-of-the-art power
             performance of InP-based HEMTs at 59 GHz. Using a 448 &mu;m
             wide HEMT with a gate-length of 0.15 &mu;m, an output power
             of 155 mW with 4.9 dB gain, and power-added efficiency of
             30.1% were obtained. By power combining two of these HEMTs
             we were able to achieve an output power of 288 mW with 3.6
             dB gain and power-added efficiency of 20.4%. This is the
             highest output power reported with such a high-efficiency
             for InP-based HEMTs, and is comparable to the best results
             reported for AlGaAs/InGaAs on GaAs pseudomorphic HEMTs at
             this frequency.},
   Key = {93101087705}
}

@article{94011191194,
   Author = {Zakharov, N.D. and Liliental-Weber, Z. and Swider, W. and Washburn, J. and Brown, A.S. and Metzger,
             R.},
   Title = {Ordering in InGaAs/InAlAs layers},
   Journal = {Journal of Electronic Materials},
   Volume = {22},
   Number = {12},
   Pages = {1495 - 1498},
   Year = {1993},
   Keywords = {Semiconducting gallium arsenide;Semiconducting indium
             compounds;Crystal structure;Crystal lattices;Transmission
             electron microscopy;Order disorder transitions;},
   Abstract = {The structure of InGaAs/InAlAs layers lattice matched to an
             InP substrate, grown on either (100) or on (110) with a
             4&deg; tilt toward [111 over-bar ] at 500 and 300&deg;C has
             been investigated by transmission electron microscopy. High
             perfection resulted for the layers grown on [001] oriented
             substrates whereas growth on the near [110] substrates
             resulted in compositional nonuniformities, macrosteps
             formation, and ordering of the group III elements. This
             difference in structural perfection between the two sets of
             samples was also reflected in differences in electrical
             properties.},
   Key = {94011191194}
}

@article{4466016,
   Author = {Nguyen, L.D. and Brown, A.S. and Thompson, M.A. and Jelloian, L.M.},
   Title = {50 nm InP high electron mobility transistors},
   Journal = {Microw. J. (USA)},
   Volume = {36},
   Number = {6},
   Pages = {96, 98, 101 -},
   Year = {1993},
   Keywords = {high electron mobility transistors;III-V
             semiconductors;indium compounds;semiconductor device
             noise;solid-state microwave devices;},
   Abstract = {A new type of field-effect transistor (FET), the indium
             phosphide high electron mobility transistor (InP HEMT), has
             recently emerged as an attractive candidate for low noise
             applications at microwave and MM-wave frequencies. It
             exhibits the lowest noise figures (&lt;1 dB at 60 GHz), and
             the highest cutoff frequencies (&gt;300 GHz) among all
             three-terminal semiconductor devices. Also, it is the only
             type of transistor that has shown useful gain at frequencies
             above 100 GHz (7.3 dB at 140 GHz). The authors describe the
             scaling of InP HEMTs down to 50 nm gate length. By properly
             designing the HEMT epitaxial layer and scaling its parasitic
             resistances, significant improvements in device performance
             are possible for FETs with gate lengths as short as 50 nm.
             With further optimization, 50 nm InP HEMTs should extend the
             operating frequency of three-terminal semiconductor devices
             to at least 300 GHz, as well as provide a low cost
             alternative to ultra low noise masers and SIS mixers at
             microwave frequencies},
   Key = {4466016}
}

@article{4469034,
   Author = {Metzger, R.A. and Brown, A.S. and McCray, L.G. and Henige,
             J.A.},
   Title = {Structural and electrical properties of low temperature
             GaInAs},
   Journal = {J. Vac. Sci. Technol. B, Microelectron. Process. Phenom.
             (USA)},
   Volume = {11},
   Number = {3},
   Pages = {798 - 801},
   Address = {Ottawa, Ont., Canada},
   Year = {1993},
   url = {http://dx.doi.org/10.1116/1.586792},
   Keywords = {electronic conduction in crystalline semiconductor thin
             films;gallium arsenide;III-V semiconductors;indium
             compounds;molecular beam epitaxial growth;secondary ion mass
             spectra;semiconductor epitaxial layers;semiconductor
             growth;X-ray diffraction examination of materials;},
   Abstract = {GaInAs lattice matched to InP was grown by molecular-beam
             epitaxy over a temperature range of 100-450&deg;C and
             characterized by X-ray diffraction, resistivity, and
             secondary ion mass spectroscopy, X-ray diffraction analysis
             indicated the incorporation of excess As for samples grown
             below 250&deg;C. As-grown GaInAs was <i>n</i>-type with
             electrical concentration increasing with decreasing growth
             temperature, ranging from 5&times;10<sup>14</sup>
             cm<sup>-3</sup> (450&deg;C) to 1.8&times;10<sup>17</sup>
             (150&deg;C). Secondary ion mass spectroscopy indicated that
             this behavior was not due to the incorporation of background
             <i>n</i>-type dopants. Be- and Si-doped GaInAs at
             5&times;10<sup>18</sup> cm<sup>-3</sup> showed full
             electrical activation for growth temperatures down to
             260&deg;C, with only partial activation for Si and no
             activation for Be for growth temperatures below
             260&deg;C},
   Key = {4469034}
}

@article{4469039,
   Author = {Brown, A.S. and Metzger, R.A. and Henige,
             J.A.},
   Title = {Growth and properties of AlInAs-GaInAs alloys and quantum
             wells on (110)InP},
   Journal = {J. Vac. Sci. Technol. B, Microelectron. Process. Phenom.
             (USA)},
   Volume = {11},
   Number = {3},
   Pages = {817 - 19},
   Address = {Ottawa, Ont., Canada},
   Year = {1993},
   url = {http://dx.doi.org/10.1116/1.586753},
   Keywords = {aluminium compounds;gallium arsenide;III-V
             semiconductors;indium compounds;luminescence of inorganic
             solids;molecular beam epitaxial growth;photoluminescence;semiconductor
             growth;semiconductor quantum wells;spectral line
             breadth;},
   Abstract = {GaInAs and AlInAs structures have been grown on on-axis and
             misoriented [4&deg;-(111) In-plane] (110) InP substrates. In
             general, the growth on the on-axis substrates shows a high
             density of defects; while the surface morphology using
             misoriented substrates is smooth. A smooth morphology is
             obtained on on-axis (110) InP, however, with a low substrate
             temperature and high V/III ratio. The photoluminescence
             properties of the individual alloys grown on (110) show high
             intensities, similar to that which can be obtained on (100)
             substrates, but the peaks are significantly broadened and
             shifted in energy. The interface quality of the
             GaInAs-AlInAs (110) heterojunction, inferred from the
             linewidths of quantum well emissions, is improved by growing
             at higher temperature},
   Key = {4469039}
}

@article{4306656,
   Author = {Kiziloglu, K. and Hashemi, M.M. and Lie-Wei Yin and Yuan
             Jing Li and Petroff, P.M. and Mishra, U.K. and Brown,
             A.S.},
   Title = {Rapid thermal annealing characteristics of bulk AlInAs/nP
             and AlInAs/GaInAs/InP high electron mobility transistor
             structures with planar silicon doping},
   Journal = {J. Appl. Phys. (USA)},
   Volume = {72},
   Number = {8},
   Pages = {3798 - 802},
   Year = {1992},
   url = {http://dx.doi.org/10.1063/1.352277},
   Keywords = {aluminium compounds;annealing;carrier density;carrier
             mobility;diffusion in solids;gallium arsenide;high electron
             mobility transistors;III-V semiconductors;indium
             compounds;rapid thermal processing;semiconductor
             doping;silicon;two-dimensional electron gas;vacancies
             (crystal);},
   Abstract = {The effects of high temperature rapid thermal annealing
             processes on carrier concentration and mobility of bulk
             AlInAs and AlInAs/GaInAs high electron mobility transistor
             structures with planar Si doping are studied. At annealing
             temperatures of 700&deg;C and 800&deg;C, slight reduction in
             mobilities and carrier concentration are observed in samples
             annealed with a Si<sub>3</sub>N<sub>4</sub> cap or GaAs
             pieces in close proximity. The reduction in mobility is
             thought to be due to enhanced diffusion of the donor Si
             atoms towards the two-dimensional electron gas channel.
             Preferential vacancy enhanced diffusion of Si atoms towards
             the surface is projected to be responsible for the loss in
             carrier concentration. At these annealing temperatures, the
             reduction in mobility in the samples annealed with
             SiO<sub>2</sub> capping is more pronounced, and is as high
             as 80% at the measurement temperature of 15 K. This behavior
             is attributed to the outdiffusion of Ga and In atoms into
             the oxide thereby creating vacancies and resulting in
             interface mixing. Reduction in mobility and carrier
             concentration are much more substantial in the 900&deg;C
             anneals done with Si<sub>3</sub>N<sub>4</sub> cap and GaAs
             pieces in close proximity. This indicates the destruction of
             the heterostructure integrity of the AlInAs/GaInAs
             interface. For the particular anneal with a SiO<sub>2</sub>
             cap at this temperature, the carrier concentration increases
             above its reference value due to effective doping of the
             ternary material by the back-diffusion Si atoms from the
             SiO<sub>2</sub> cap},
   Key = {4306656}
}

@article{93020691591,
   Author = {Nguyen, Loi D. and Brown, April S. and Thompson, Mark A. and Jelloian, Linda M.},
   Title = {50-nm self-aligned-gate pseudomorphic AlInAs/GaInAs high
             electron mobility transistors},
   Journal = {IEEE Transactions on Electron Devices},
   Volume = {39},
   Number = {9},
   Pages = {2007 - 2014},
   Year = {1992},
   url = {http://dx.doi.org/10.1109/16.155871},
   Keywords = {Semiconducting aluminum compounds;Semiconducting gallium
             compounds;Integral equations;Gates (transistor);},
   Abstract = {The design and fabrication of a class of 50-nm
             self-aligned-gate pseudomorphic AlInAs/GaInAs high electron
             mobility transistors (HEMTs) with potential for
             ultra-high-frequency and ultra-low-noise applications are
             reported. These devices exhibit an extrinsic
             transconductance of 1740 mS/mm and an extrinsic current-gain
             cutoff frequency of 340 GHz at room temperature. The
             small-signal characteristics of a pseudomorphic and a
             lattice-matched AlInAs/GaInAs HEMT with similar gate length
             (50 nm) and gate-to-channel separation (17.5 nm) are
             compared. The former demonstrates a 16% higher
             transconductance and a 15% higher current-gain cutoff
             frequency, but exhibits a 38% poorer output conductance. An
             analysis of the high-field transport properties of
             ultra-short gate-length AlInAs/GaInAs HEMTs shows that a
             reduction of gate length from 150 to 50 nm neither enhances
             nor reduces their average velocity. In contrast, the
             addition of indium from 53% to 80% improves this parameter
             by 19%.},
   Key = {93020691591}
}

@article{95052701812,
   Author = {Brown, A.S. and Nguyen, L.D. and Metzger, R.A. and Matloubian, M. and Schmitz, A.E. and Lui, M. and Wilson,
             R.G. and Henige, J.A.},
   Title = {Reduced silicon movement in GalnAs/AlInAs HEMT structures
             with low temperature AlInAs spacers},
   Pages = {281 - 286},
   Address = {Seattle, WA, USA},
   Year = {1992},
   Key = {95052701812}
}

@article{92040460892,
   Author = {Nguyen, Loi D. and Brown, April S. and Thompson, Mark A. and Jelloian, Linda M. and Larson, Larry E. and Matloubian,
             Mehran},
   Title = {650-angstrom self-aligned-gate pseudomorphic
             Al0.48In0.52As/Ga0.20In0.80As
             high electron mobility transistors},
   Journal = {IEEE Electron Device Letters},
   Volume = {13},
   Number = {3},
   Pages = {143 - 145},
   Year = {1992},
   url = {http://dx.doi.org/10.1109/55.144991},
   Keywords = {Semiconducting Aluminum Compounds--Applications;Transistors,
             High Electron Mobility--Fabrication;Semiconducting Indium
             Compounds--Applications;Semiconducting Gallium
             Compounds--Applications;},
   Abstract = {The authors report on the design and fabrication of a
             650-angstrom self-aligned-gate pseudomorphic
             Al<sub>0.48</sub>In<sub>0.52</sub>As/Ga<sub>0.20</sub>In<sub>0.80</sub>As
             high electron mobility transistor (HEMT) with a
             state-of-the-art current gain cutoff frequency of over 300
             GHz. This work clearly demonstrates the potential of
             sub-0.1-&mu;m gate-length HEMTs for near-future microwave
             and millimeter-wave applications.},
   Key = {92040460892}
}

@article{4547745,
   Author = {Metzger, R.A. and Brown, A.S. and Wilson, R.G. and Liu, T. and Stanchina, W.E. and Nguyen, L.D. and Schmitz, A.E. and McCray, L.G. and Henige, J.A.},
   Title = {The use of low temperature AlInAs and GaInAs lattice matched
             to InP in the fabrication of HBTs and HEMTs},
   Journal = {Low Temperature (LT) GaAs and Related Materials
             Symposium},
   Pages = {259 - 64},
   Address = {Boston, MA, USA},
   Year = {1992},
   Keywords = {aluminium compounds;gallium arsenide;heterojunction bipolar
             transistors;high electron mobility transistors;III-V
             semiconductors;indium compounds;molecular beam epitaxial
             growth;semiconductor growth;},
   Abstract = {AlInAs and GaInAs lattice matched to InP and grown by MBE
             over a temperature range of 200 to 350&deg;C (normal growth
             temperature of 500&deg;C) has been used to enhance the
             device performance of inverted (where the donor layer lies
             below the channel) High Electron Mobility Transistors
             (HEMTs) and Heterojunction Bipolar Transistors (HBTs),
             respectively. The authors show that an AlInAs spacer grown
             over a temperature range of 300 to 350&deg;C and inserted
             between the AlInAs donor layer and GaInAs channel
             significantly reduces Si movement from the donor layer into
             the channel. This produces an inverted HEMT with a channel
             charge of 3.0&times;10<sup>12</sup> cm<sup>-2</sup> and
             mobility of 9131 cm<sup>2</sup>/V-s, as compared to the same
             HEMT with a spacer grown at 500&deg;C resulting in a channel
             charge of 2.3&times;10<sup>12</sup> cm<sup>-2</sup> and
             mobility of 4655 cm<sup>2</sup>/V-s. They also show that a
             GaInAs spacer grown over a temperature range of 300 to
             350&deg;C and inserted between the AlInAs emitter and GaInAs
             base of an npn HBT significantly reduces Be movements from
             the base into the emitter, thereby allowing higher Be base
             dopings (up to 1&times;10<sup>20</sup> cm<sup>-3</sup>)
             confined to 500 &Aring; base widths, resulting in an
             AlInAs/GaInAs HBT with an f<sub>max</sub> of 73 GHz and
             f<sub>t</sub> of 110 GHz},
   Key = {4547745}
}

@article{4179619,
   Author = {Brown, A.S. and Nguyen, L.D. and Metzger, R.A. and Schmitz,
             A.E. and Henige, J.A.},
   Title = {Growth and properties of high mobility strained inverted
             AlInAs-GaInAs modulation doped structures},
   Journal = {J. Vac. Sci. Technol. B, Microelectron. Process. Phenom.
             (USA)},
   Volume = {10},
   Number = {2},
   Pages = {1017 - 19},
   Address = {Austin, TX, USA},
   Year = {1992},
   url = {http://dx.doi.org/10.1116/1.586402},
   Keywords = {aluminium compounds;electron gas;electron mobility;gallium
             arsenide;high electron mobility transistors;III-V
             semiconductors;impurity scattering;indium compounds;surface
             segregation;},
   Abstract = {GaInAs-AlInAs inverted modulation-doped structures exhibit
             degraded two-dimensional electron gas (2DEG) transport
             properties when grown under standard conditions. This
             results from the surface segregation of Si from the donor
             layer into the GaInAs channel layer. Consequently, the 2DEG
             has poor mobility due to increased ionized impurity
             scattering. The authors have obtained high mobility inverted
             structures through the inhibition of this surface
             segregation by growing the spacer layer at a greatly reduced
             substrate temperature. Inverted modulation doped structures
             optimized for high frequency device applications require the
             use of thin channel layers. In order to obtain a large
             charge density (&gt;2.3&times;10<sup>12</sup>
             cm<sup>-2</sup>) with high quality transport
             characteristics, In-rich, strained channels are required.
             This paper presents the results of an investigation of the
             electronic and optical properties of strained, inverted,
             thin-channel modulation doped structures. Channel
             thicknesses of 50-200 &Aring; and In compositions of 53% to
             80% were investigated. A 300 K electron mobility of 13000
             cm<sup>2</sup>/V s was obtained with a sheet charge density
             of 2.4&times;10<sup>12</sup> cm<sup>-2</sup> for a 75
             &Aring; thick Ga<sub>0.27</sub>In<sub>0.73</sub>As
             channel},
   Key = {4179619}
}

@article{4107436,
   Author = {Brown, A.S. and Metzger, R.A. and Henige, J.A. and Nguyen,
             L. and Lui, M. and Wilson, R.G.},
   Title = {Effect of Si movement on the electrical properties of
             inverted AlInAs-GaInAs modulation doped structures},
   Journal = {Appl. Phys. Lett. (USA)},
   Volume = {59},
   Number = {27},
   Pages = {3610 - 12},
   Year = {1991},
   url = {http://dx.doi.org/10.1063/1.106394},
   Keywords = {aluminium compounds;carrier mobility;electron gas;gallium
             arsenide;Hall effect;III-V semiconductors;indium
             compounds;semiconductor junctions;silicon;surface
             segregation;},
   Abstract = {Inverted modulation doped structures typically exhibit
             degraded electrical characteristics. For the AlInAs-GaInAs
             heterojunction system, the reduction in electron mobility
             for two-dimensional electron gases formed at inverted
             interfaces can be greater than 50% at 300 K as compared to
             those formed at normal interfaces. The authors' data show
             that the reduction in mobility is due to the movement of Si
             into the GaInAs channel. The Si movement is found to be
             dramatically reduced by growing the AlInAs spacer at the
             inverted interface at a substrate temperature of
             300-350&deg;C. Device structures have been grown using this
             technique which exhibit the highest conductivity obtained
             for any 2DEG system},
   Key = {4107436}
}

@article{91080271574,
   Author = {Metzger, R.A. and Brown, A.S. and Stanchina, W.E. and Lui,
             M. and Wilson, R.G. and Kargodorian, T.V. and McCray, L.G. and Henige, J.A.},
   Title = {Growth and characterization of low temperature
             AlInAs},
   Journal = {Journal of Crytal Growth},
   Volume = {111},
   Number = {1-4},
   Pages = {445 - 449},
   Address = {La Jolla, CA, USA},
   Year = {1991},
   url = {http://dx.doi.org/10.1016/0022-0248(91)91017-5},
   Keywords = {Molecular Beam Epitaxy;Photoluminescence;X-rays -
             Diffraction;Mass Spectrometry;Semiconducting Indium
             Phosphide;},
   Abstract = {Al<sub>0.48</sub>In<sub>0.52</sub>As lattice matched to InP
             and grown by MBE over a temperature range of 250 to
             100&deg;C and under an As<sub>4</sub> pressure of 1 &times;
             10<sup>-6</sup> to 2 &times; 10<sup>-5</sup> Torr has been
             investigated. Over this temperature range of 250 to
             100&deg;C, resistivity decreases from 2 &times;
             10<sup>7</sup> to 3 &times; 10<sup>6</sup> &Omega; cm while
             photoluminescence intensity decreases by two orders of
             magnitude. Resistivity showed little sensitivity to change
             in As<sub>4</sub> overpressure over the range investigated.
             Single crystal samples grown in the range of 100 to
             150&deg;C showed nonstochiometric excess As of up to 1.4% as
             determined by secondary ion mass spectrometry, and lattice
             expansion of 0.1% as determined by X-ray diffraction.
             Samples grown at temperatures greater than 200&deg;C showed
             no excess As or lattice expansion.},
   Key = {91080271574}
}

@article{92020412149,
   Author = {Schmitz, A. E. and Nguyen, L. D. and Brown, A. S. and Metzger, R. A.},
   Title = {InP-based inverted high electron mobility
             transistors},
   Journal = {IEEE Transactions on Electron Devices},
   Volume = {38},
   Number = {12},
   Pages = {2702 -},
   Address = {Boulder, CO, USA},
   Year = {1991},
   url = {http://dx.doi.org/10.1109/16.158723},
   Keywords = {Semiconducting Indium Phosphide;Semiconducting Aluminum
             Compounds;},
   Abstract = {Summary form only given. The fabrication and
             characterization of an inverted high-electron-mobility
             transistor (HEMT) in the AlInAs/GaInAs-on-InP material
             system are reported. Inverted HEMTs, which have the donor
             layer beneath the channel, have the potential for higher
             transconductance, current gain cutoff frequency, and power
             gain cutoff frequency than conventional HEMTs because the
             gate can be placed closer to the two-dimensional electron
             gas (2DEG).},
   Key = {92020412149}
}

@article{92010390276,
   Author = {Matloubian, M. and Nguyen, L. D. and Brown, A. S. and Larson, L. E. and Melendes, M. A. and Thompson, M.
             A.},
   Title = {High power and high efficiency AlInAs/GaInAs on InP
             HEMTs},
   Journal = {IEEE MTT-S International Microwave Symposium
             Digest},
   Volume = {2},
   Pages = {721 - 724},
   Address = {Boston, MA, USA},
   Year = {1991},
   url = {http://dx.doi.org/10.1109/MWSYM.1991.147105},
   Keywords = {Microwave Measurements;Amplifiers, Power
             Type;},
   Abstract = {The authors report on the development of
             AlInAs/GaInAs-on-InP power HEMTs (high electron mobility
             transistors). Output power densities of more than 730 mW/mm
             and 960 mW/mm with power-added efficiencies (PAE) of 50% and
             40%, respectively, were achieved at 12 GHz. When biased for
             maximum efficiency, a PAE of 59% and an output power of 470
             mW/mm with 11.3 dB gain were obtained. These results
             demonstrate the viability of these HEMTs for power
             amplification. Considering that these HEMTs have an
             f<sub>max</sub> of over 200 GHz, they should also have good
             power performance at millimeter-wave frequencies.},
   Key = {92010390276}
}

@article{92060514906,
   Author = {Brown, A.S. and Nguyen, L.D. and Metzger, R.A. and Matloubian, M. and Schrnitz, A.E. and Lui, M. and Wilson,
             R.G. and Henige, J.A.},
   Title = {Reduced silicon movement in GaInAs/AlInAs HEMT structures
             with low temperature AlInAs spacers},
   Journal = {Institute of Physics Conference Series},
   Volume = {120},
   Pages = {281 - 286},
   Address = {Seattle, WA, USA},
   Year = {1991},
   Keywords = {Silicon and Alloys - Diffusion;Semiconducting Gallium
             Arsenide;Semiconducting Indium Compounds;Semiconducting
             Aluminum Compounds;Molecular Beam Epitaxy -
             Applications;},
   Abstract = {High electron mobility transistors fabricated from
             GaInAs/AlInAs modulation-doped structure currently exhibit
             the highest current gain cut-off frequency, highest maximum
             frequency of oscillation and lowest noise figure of any
             three terminal device. The data presented show that the
             degraded characteristics of the inverted modulation-doped
             interface, as compared with the normal AlInAs on GaInAs,
             result from the segregation of silicon in AlInAs. The
             authors have reduced the magnitude of these deleterious
             effects by growing a thin layer of AlInAs immediately
             following the doped region at significantly reduced
             substrate temperatures. The degree of silicon segregation as
             a fucntion of substrate temperature is discussed.also},
   Key = {92060514906}
}

@article{3947624,
   Author = {Brown, A.S.},
   Title = {An overview of microwave waveguide technology},
   Journal = {Electrotechnology (UK)},
   Volume = {2},
   Number = {3},
   Pages = {120 - 2},
   Year = {1991},
   Keywords = {guided electromagnetic wave propagation;waveguides;},
   Abstract = {With the increasing demand for microwave circuitry in both
             the consumer and industrial markets the engineering
             expertise to develop these techniques is becoming a key
             issue. The profusion of engineers now being faced with the
             problems of signal processing in the gigahertz frequency
             range is making a basic understanding of the principles an
             essential skill for all electronics engineers. Point to
             point digital radio, satellite TV, information systems,
             physiotherapy treatment and radar are just a few examples of
             this ever increasing application field. The author provides
             an insight into transmission principles in the SHF band and
             beyond. A lower limit of 3 GHz is assumed. The author
             considers waveguide propagation in the TE, TEM and TM modes.
             Construction practicalities, circular waveguides, health
             aspects and applications are discussed},
   Key = {3947624}
}

@article{3846550,
   Author = {Brown, A.S. and Spackman, M.A.},
   Title = {A model study of the κ-refinement procedure for
             fitting valence electron densities},
   Journal = {Acta Crystallogr. A, Found. Crystallogr.
             (Denmark)},
   Volume = {A47},
   Pages = {21 - 9},
   Year = {1991},
   url = {http://dx.doi.org/10.1107/S0108767390009163},
   Keywords = {HF calculations;X-ray crystallography calculation
             methods;},
   Abstract = {Monopole electron-density deformations for first- and
             second-row atoms are obtained using Hirshfeld partitioning
             of near Hartree-Fock limit electron densities for 28
             diatomics. The &kappa;-refinement model [Coppens, Guru Row,
             Leung, Stevens, Becker and Yang, Acta Cryst. A35, 63-72
             (1979)] is applied to these monopole deformations and its
             success in modelling them is gauged by means of deformation
             radial distribution plots, <i>r</i><sup>2</sup>&Delta;&rho;(<i>r</i>),
             and &kappa; and charge-transfer values. The
             &kappa;-refinement procedure proves to be remarkably
             successful in modelling the monopole deformations in this
             work. This in large part explains the successful application
             of the &kappa;-refinement model to X-ray diffraction data,
             where it is capable of yielding an excellent point-charge
             model of the electrostatic potential in molecules and
             crystals},
   Key = {3846550}
}

@article{3757163,
   Author = {Brown, A.S.},
   Title = {The effects and implications of ESD on semiconductor
             technology},
   Journal = {Electrotechnology (UK)},
   Volume = {1},
   Number = {4},
   Pages = {217 - 19},
   Year = {1990},
   Keywords = {electrostatic discharge;integrated circuit
             manufacture;integrated circuit technology;VLSI;},
   Abstract = {Since the development and implementation of large scale and
             very large scale integration techniques (LSI and VLSI),
             manufacturers have become increasingly aware of the damaging
             and destructive properties of electrostatic discharge (ESD)
             on semiconductor materials. The author expresses the opinion
             that this phenomena has not been taken seriously until
             relatively recently, and although semiconductor
             manufacturers have observed the necessary precautions for
             many years the practice is only now diversifying into
             installation, assembly, servicing and repair operations. The
             generation of ESD and its damaging effects are described,
             and practical methods of protection are presented, including
             a check list of precautions that should be
             taken},
   Key = {3757163}
}

@article{3802514,
   Author = {Mishra, U.K. and Brown, A.S. and Jelloian, L.M. and Thompson, M. and Rosenbaum, S.E. and Nguyen, L.D. and Solomon, P.M. and Kiehl, R. and Kwark, Y.H.},
   Title = {Room temperature and cryogenic performance of self-aligned
             AlInAs-GaInAs HEMTs with 0.15 μm gate
             length},
   Journal = {Proc. SPIE - Int. Soc. Opt. Eng. (USA)},
   Volume = {1288},
   Pages = {21 - 9},
   Address = {San Diego, CA, USA},
   Year = {1990},
   Keywords = {aluminium compounds;gallium arsenide;high electron mobility
             transistors;III-V semiconductors;indium compounds;plasma
             deposition;solid-state microwave devices;},
   Abstract = {A novel self-aligned technique for 0.15 &mu;m gate length
             AlInAs-GaInAs HEMTs has been demonstrated. Devices with an
             oxide sidewall yielded an f<sub>T</sub> of 177 GHz whereas
             devices with no sidewall exhibited an f<sub>T</sub> greater
             than 250 GHz. The difference has been related to process
             damage during plasma deposition of SiO<sub>2</sub>. An
             extrinsic f<sub>T</sub> of 292 GHz was measured at 77
             K},
   Key = {3802514}
}

@article{94071327430,
   Author = {Delaney, M.J. and Brown, A.S. and Mishra, U.K. and Chou,
             C.S. and Larson, L.E. and Nguyen, L. and Jensen,
             J.},
   Title = {Low temperature MBE growth of GaAs and AllnAs for high speed
             devices},
   Pages = {189 - 194},
   Address = {Karuizawa, Jpn},
   Year = {1990},
   Key = {94071327430}
}

@article{3695770,
   Author = {Mishra, U.K. and Brown, A.S. and Jensen,
             J.F.},
   Title = {High performance AlInAs-GaInAs HEMTs and
             HBTs},
   Journal = {Gallium Arsenide and Related Compounds 1989. Proceedings of
             the Sixteenth International Symposium},
   Pages = {605 - 12},
   Address = {Karuizawa, Japan},
   Year = {1990},
   Keywords = {aluminium compounds;gallium arsenide;heterojunction bipolar
             transistors;high electron mobility transistors;III-V
             semiconductors;indium compounds;semiconductor
             junctions;},
   Abstract = {AlInAs-GaInAs HEMTs with f<sub>t</sub>&gt;2000 GHz and
             minimum noise figure of 0.8 dB at 63.5 GHz have been
             fabricated. Ring oscillators with gate delay of 6 pS and
             static dividers with operating frequency of 26.7 GHz have
             been demonstrated. AlInAs-GaInAs HBTs with f<sub>T</sub> of
             49 GHz, f<sub>max</sub> of 62 GHz have been achieved. The
             maximum operating frequency of static dividers was 15
             GHz},
   Key = {3695770}
}

@article{89095061495,
   Author = {Brown, A.S. and Mishra, U.K. and Rosenbaum,
             S.E.},
   Title = {Effect of interface and alloy quality on the DC and RF
             performance of Ga0.47In0.53As-Al0.48In0.52As
             HEMT's.},
   Journal = {IEEE Transactions on Electron Devices},
   Volume = {36},
   Number = {4 pt 1},
   Pages = {641 - 645},
   Year = {1989},
   url = {http://dx.doi.org/10.1109/16.22468},
   Keywords = {Electric Conductivity;Semiconducting Gallium
             Compounds;Semiconducting Aluminum Compounds;},
   Abstract = {Ga<sub>0.47</sub>In<sub>0.53</sub>As-Al<sub>0.48</sub>In<sub>0.52</sub>As
             high-electron-mobility transistors (HEMTs) were fabricated
             in materials with varying degrees of alloy and interface
             disorder. The conductivities of the expitaxial layers are
             highest for material with the smallest amount of interface
             roughness and lowest for samples with poor-quality
             interfaces. The transconductances and unity current gain
             cutoff frequencies of the fabricated devices with 0.2-&mu;m
             gates are similarly affected.},
   Key = {89095061495}
}

@article{90056030370,
   Author = {Delaney, M.J. and Brown, A.S. and Mishra, U.K. and Chou,
             C.S. and Larson, L.E. and Nguyen, L. and Jensen,
             J.},
   Title = {Low temperature MBE growth of GaAs and AlInAs for high speed
             devices},
   Pages = {64 - 72},
   Address = {Ithaca, NY, USA},
   Year = {1989},
   url = {http://dx.doi.org/10.1109/CORNEL.1989.79822},
   Keywords = {Transistors, Field Effect--Fabrication;Molecular Beam
             Epitaxy;Semiconducting Gallium Arsenide--Growth;},
   Abstract = {Low-temperature GaAs buffer technology was used to fabricate
             high-performance 0.2-&mu;m-gate-length, spike-doped GaAs
             MESFETs. A 400.0-nm low-temperature GaAs buffer was grown by
             molecular beam epitaxy (MBE) at a substrate temperature of
             300&deg;C. The substrate temperature was raised to 580&deg;C
             for a brief in situ anneal and followed by the growth of the
             active spike-doped GaAs MESFET structure. The peak extrinsic
             transconductance, g<sub>m</sub>, was 600 mS/mm with an
             average pinch-off voltage, V<sub>po</sub>, of -0.6 V. An
             output conductance, g<sub>o</sub>, of 24 mS/mm resulted in a
             voltage gain of 25. The extrapolated f<sub>T</sub> of the
             devices was 79 GHz. Static SCFL (source-coupled FET logic)
             frequency dividers fabricated in this technology exhibit a
             maximum clock rate of 22 GHz. Low-temperature AlInAs buffer
             growth has been applied to GaInAs/AlInAs HEMT
             (high-electron-mobility transistor devices on InP. A
             250.0-nm AlInAs buffer was grown at a substrate temperature
             of 150&deg;C, followed by an anneal under arsenic
             overpressure and a GaInAs/AlInAs superlattice prior to the
             HEMT structure, which is grown at T</sub> = 510&deg;C.
             Devices fabricated with 0.2-&mu;m gates had g<sub>m</sub> of
             670 mS/mm and g<sub>o</sub> of 2.55 mS/mm, giving a voltage
             gain of 250.},
   Key = {90056030370}
}

@article{3506085,
   Author = {Brown, A.S. and Mishra, U.K. and Larson, L.E. and Rosenbaum,
             S.E.},
   Title = {The elimination of DC I-V anomalies in Ga0.47In0.53As-Al0.48In0.52As
             HEMTs},
   Journal = {Gallium Arsenide and Related Compounds 1988. Proceedings of
             the Fifteenth International Symposium},
   Pages = {445 - 8},
   Address = {Atlanta, GA, USA},
   Year = {1989},
   Keywords = {aluminium compounds;gallium arsenide;high electron mobility
             transistors;III-V semiconductors;indium compounds;p-n
             heterojunctions;},
   Abstract = {GaInAs-AlInAs HEMTs have improved DC and RF performance as
             compared with GaInAs-(AlGa)As HEMTs. The drain-source I-V
             characteristics exhibit a kink related to trap ionization in
             the AlInAs buffer. This kink is eliminated by using a GaInAs
             buffer. Sidegating is also dramatically reduced with the
             GaInAs buffer},
   Key = {3506085}
}

@article{90120074434,
   Author = {Mishra, U.K. and Brown, A.S. and Jelloian, L.M. and Thompson, M. and Nguyen, L.D. and Rosenbaum,
             S.E.},
   Title = {Novel high performance self-aligned 0.15 micron long T-gate
             AlInAs-GaInAs HEMTs},
   Journal = {Technical Digest - International Electron Devices
             Meeting},
   Pages = {101 - 104},
   Address = {Washington, DC, USA},
   Year = {1989},
   url = {http://dx.doi.org/10.1109/IEDM.1989.74237},
   Keywords = {Semiconducting Aluminum Compounds;Semiconducting Gallium
             Compounds;},
   Abstract = {A novel self-aligned technique for 0.15-&mu;m-gate-length
             HEMTs (high electron mobility transistors) has been
             demonstrated. This technology uses a 0.15-&mu;m-long T-gate
             structure defined by e-beam lithography with a
             SiO<sub>2</sub> sidewall to implement the self-aligned
             scheme. The resultant device has low source and drain
             resistances, low gate resistance (200 &Omega;/mm), and a
             passivating layer over the active channel. Devices with an
             oxide sidewall yielded an f<sub>T</sub> of 177 GHz, whereas
             devices with no sidewall exhibited an f<sub>T</sub> greater
             than 250 GHz. The difference has been related to process
             damage during plasma deposition of SiO<sub>2</sub>.},
   Key = {90120074434}
}

@article{90076090867,
   Author = {Brown, April S. and Chou, Chia S. and Delaney, Michael J. and Hooper, Catherine E. and Jensen, Joseph F. and Larson,
             Lawrence E. and Mishra, Umesh K. and Nguyen, Loi D. and Thompson, Mark S.},
   Title = {Low-temperature buffer AlInAs/GaInAs on InP HEMT technology
             for ultra-high-speed integrated circuits},
   Journal = {Technical Digest - GaAs IC Symposium (Gallium Arsenide
             Integrated Circuit)},
   Pages = {143 - 146},
   Address = {San Diego, CA, USA},
   Year = {1989},
   Keywords = {Logic Devices--Gates;Electronic Circuits, Flip
             Flop;Crystals--Epitaxial Growth;},
   Abstract = {A report is presented on the development of a planar
             low-temperature buffer AlInAs/GaInAs on InP
             high-electron-mobility transistor (HEMT) technology for use
             in digital and analog integrated circuits. This technology
             is attractive for circuit applications because of the high
             achievable f<sub>T</sub> and f<sub>max</sub>, low output
             conductance and gate leakage current, and reduced
             susceptibility to backgating effects. Two alternative logic
             families--UFL and SCFL (source-coupled FET logic)--were
             chosen for the realization of digital circuits. Measurements
             on the UFL ring oscillators exhibited a minimum gate delay
             of 13 pS with a power dissipation of 1.1 mW/gate at room
             temperature. The gate delay rose to 25 pS when the power
             dissipation increased to 3 mW/gate. This gate delay is
             expected to drop significantly with reductions in diode
             level-shift series resistance and improvements in transistor
             f<sub>T</sub>. The most complex SCFL circuit tested was a
             divide-by-eight counter. The SCFL circuits were configured
             as flip-flops in the divide-by-eight mode. The circuit
             operated at a maximum clock rate of 12.5
             GHz.},
   Key = {90076090867}
}

@article{90025161317,
   Author = {Mishra, Umesh K. and Brown, April S. and Delaney, M.J. and Greiling, Paul T. and Krumm, Charles F.},
   Title = {AlInAs-GaInAs HEMT for microwave and millimeter-wave
             applications},
   Journal = {IEEE Transactions on Microwave Theory and
             Techniques},
   Volume = {37},
   Number = {9},
   Pages = {1279 - 1285},
   Year = {1989},
   url = {http://dx.doi.org/10.1109/22.32210},
   Keywords = {Semiconducting Indium Compounds--Applications;Microwave
             Devices;Semiconducting Aluminum Compounds--Applications;Amplifiers;},
   Abstract = {The status of lattice-matched high-electron-mobility
             transistors (HEMTs) and pseudomorphic AlInAs-GaInAs grown on
             In substrates is reviewed. The best lattice-matched devices
             with 0.1-&mu;m gate length had a transconductance
             g<sub>m</sub> = 1080 mS/mm and a unity current gain cutoff
             frequency f<sub>T</sub> = 178 GHz, whereas similar
             pseudomorphic HEMTs had g<sub>m</sub> = 1160 mS/mm and
             f<sub>T</sub> = 210 GHz. Single-stage V-band amplifiers
             demonstrated 1.3- and 1.5-dB noise figures and 9.5- and
             8.0-dB associated gains for the lattice-matched and
             pseudomorphic HEMTs, respectively. The best performance
             achieved was a minimum noise figure of F<sub>min</sub> = 0.8
             dB with a small-signal gain of G<sub>a</sub> = 8.7
             dB.},
   Key = {90025161317}
}

@article{90056020715,
   Author = {Mishra, U.K. and Brown, A.S. and Jelloian, L.M. and Melendes, M.A. and Thompson, M. and Rosenbaum, S.E. and Larson, L.E.},
   Title = {Impact of buffer layer design on the performance of
             AlInAs-GaInAs HEMT's},
   Journal = {IEEE Transactions on Electron Devices},
   Volume = {36},
   Number = {11 pt 1},
   Pages = {2616 -},
   Address = {Cambridge, MA, USA},
   Year = {1989},
   url = {http://dx.doi.org/10.1109/16.43731},
   Keywords = {Electrons--Transport Properties;Semiconductor
             Materials--Doping;},
   Abstract = {Summary form only given. The authors report a study of the
             impact of buffer layer design on the characteristics of
             Al<sub>0.48</sub>In<sub>0.52</sub>As-Ga<sub>0.47</sub>In<sub>0.53</sub>As
             HEMTs (high-electron-mobility transistors). The aim of the
             study is to understand and correct the problem of high
             output conductance observed in devices with a high
             transconductance. Devices with 1.0-&mu;m gate lengths were
             fabricated using modulation-doped Al<sub>0.48</sub>In<sub>0.52</sub>As-Ga<sub>0.47</sub>In<sub>0.53</sub>As
             epitaxial layes which had sheet charge densities between 3
             &times; 10<sup>12</sup> and 3.5 &times; 10<sup>12</sup>
             cm<sup>-2</sup> and mobilities at 300 K between 9000 and
             10,000 cm</sup> V<sup>-1</sup> S<sup>-1</sup>. The different
             buffer layer designs used were: 1) a standard undoped
             Al<sub>0.48</sub>In<sub>0.52</sub>As buffer 250-nm-thick; 2)
             an Al<sub>0.48</sub>In<sub>0.52</sub>As buffer with a
             20-angstrom thick highly doped p-type region 50 angstrom
             below the channel; 3) A Ga<sub>0.47</sub>In<sub>0.53</sub>As
             buffer with a 20-angstrom-thick highly doped p-type region
             below the channel; and 4) a low-temperature AlInAs buffer
             layer. The device with the low-temperature AlInAs had the
             best output characteristics, signifying that it was the best
             mode of confining electrons in the channel.},
   Key = {90056020715}
}

@article{90046020788,
   Author = {Brown, April S. and Mishra, Umesh K. and Chou, C.S. and Hooper, C.E. and Melendes, M.A. and Thompson, M. and Larson,
             L.E. and Rosenbaum, S.E. and Delaney, M.J.},
   Title = {AlInAs-GaInAs HEMT's utilizing low-temperature AlInAs
             buffers grown by MBE},
   Journal = {IEEE Electron Device Letters},
   Volume = {10},
   Number = {12},
   Pages = {565 - 567},
   Year = {1989},
   url = {http://dx.doi.org/10.1109/55.43141},
   Keywords = {Semiconducting Aluminum Compounds;Crystals--Epitaxial
             Growth;Millimeter Waves;},
   Abstract = {Low-temperature AlInAs buffer layers incorporated in
             AlInAs-GaInAs HEMT epitaxial layers grown by MBE are
             discussed. A growth temperature of 150&deg;C followed by a
             short anneal is shown to eliminate kinks in the device I-V
             characteristic and sidegating and to reduce the output
             conductance dramatically.},
   Key = {90046020788}
}

@article{89070310715,
   Author = {Jensen, Joseph F. and Mishra, Umesh K. and Brown, April S. and Salmon, Linton G. and Delaney, M.J.},
   Title = {Ultrahigh speed static and dynamic frequency divider
             circuits},
   Journal = {Microwave Journal},
   Volume = {32},
   Number = {3},
   Pages = {10 -},
   Year = {1989},
   Keywords = {Electronic Equipment Manufacture;Electronic Equipment
             Testing;Transistors, Field Effect;Semiconducting Gallium
             Arsenide;Semiconducting Aluminum Compounds;},
   Abstract = {This paper describes the design, fabrication and testing of
             high speed GaAs MESFET and AlInAs/GaInAs HEMT frequency
             divider circuits. GaAs MESFET static frequency dividers
             operate at frequencies up to 18 GHz, and GaAs MESFET dynamic
             dividers operate at frequencies up to 26.6 GHz. When the
             same static divide designs were implemented in AlInAs/GaInAs
             HEMT technology, the performance improved to frequencies up
             to 25.4 GHz. Electrooptic testing techniques were used to
             verify the performance of the GaAs MESFET
             dividers.},
   Key = {89070310715}
}

@article{89125160265,
   Author = {Delaney, M.J. and Chou, C.S. and Larson, L.E. and Jensen,
             J.F. and Deakin, D.S. and Brown, A.S. and Hooper, W.W. and Thompson, M.A. and McCray, L.G. and Rosenbaum,
             S.E.},
   Title = {GaAs MESFET digital integrated circuits fabricated with low
             temperature buffer technology},
   Journal = {Proceedings of the Custom Integrated Circuits
             Conference},
   Pages = {18 - 3},
   Address = {San Diego, CA, USA},
   Year = {1989},
   url = {http://dx.doi.org/10.1109/CICC.1989.56782},
   Keywords = {Semiconducting Gallium Arsenide;Transistors, Field
             Effect;Electronic Circuits, Frequency Dividing;},
   Abstract = {High-performance digital integrated circuits have been
             fabricated with low-temperature buffer GaAs MESFET
             technology. The materials structure eliminates side-gating
             and light sensitivity, and improves FET performance.
             Individual transistors with a 0.2-&mu;m gate length have a
             g<sub>m</sub> of 600 mS/mm and an extrapolated f<sub>T</sub>
             of 80 GHz. Static SCFL frequency dividers fabricated in this
             technology exhibit a maximum clock rate of 22
             GHz.},
   Key = {89125160265}
}

@article{90015161235,
   Author = {Delaney, M.J. and Chou, C.S. and Larson, Lawrence E. and Jensen, Joseph F. and Deakin, D.S. and Brown, April S. and Hooper, William W. and Thompson, M.A. and McCray, L.G. and Rosenbaum, Steven E.},
   Title = {Low-temperature buffer GaAs MESFET technology for high-speed
             integrated circuit applications},
   Journal = {IEEE Electron Device Letters},
   Volume = {10},
   Number = {8},
   Pages = {355 - 357},
   Year = {1989},
   url = {http://dx.doi.org/10.1109/55.31755},
   Keywords = {Transistors, Field Effect;Semiconducting Gallium
             Arsenide;Logic Devices;},
   Abstract = {The fabrication of high-performance digital integrated
             circuits with low-temperature buffer (LTB) GaAs MESFET
             technology is presented. Individual 0.2-&mu;m-gate-length
             transistors show a g<sub>m</sub> of 600 mS/mm and an
             extrapolated f<sub>T</sub> of 80 GHz. Backgating and light
             sensitivity are eliminated with the LTB technology. Static
             source-coupled FET logic frequency dividers exhibit a
             maximum clock rate of 22 GHz.},
   Key = {90015161235}
}

@article{90035240892,
   Author = {Mishra, Umesh K. and Jensen, Joseph F. and Rensch, D.B. and Brown, April S. and Stanchina, William E. and Trew, Robert
             J. and Pierce, M.W. and Kargodorian, Tsolag
             V.},
   Title = {Self-aligned AlInAs-GaInAs heterojunction bipolar
             transistors and circuits},
   Journal = {IEEE Electron Device Letters},
   Volume = {10},
   Number = {10},
   Pages = {467 - 469},
   Year = {1989},
   url = {http://dx.doi.org/10.1109/55.43102},
   Keywords = {Semiconducting Aluminum Compounds;Semiconducting Gallium
             Compounds;Integrated Circuits;Electronic Circuits, Flip
             Flop;Electronic Circuits, Frequency Dividing;},
   Abstract = {AlInAs-GaInAs heterojunction bipolar transistors (HBTs) and
             static flip-flop frequency dividers have been fabricated. An
             f<sub>t</sub> and an f<sub>max</sub> of 49 and 62 GHz,
             respectively, have been achieved in a device with a 2 x
             5-&mu;m<sup>2</sup> emitter. Current-mode logic (CML) was
             used to implement static divide-by-two and divide-by-four
             circuits. The divide-by-two circuit operated at 15 GHz with
             82-mW power dissipation for the single flip-flop. The
             divide-by-four circuit operated at 14.5 GHz with a total
             chip power dissipation of 444 mW.},
   Key = {90035240892}
}

@article{3403466,
   Author = {Jensen, J.F. and Mishra, U.K. and Brown, A.S. and Salmon,
             L.G. and Delaney, M.J.},
   Title = {Ultrahigh speed static and dynamic frequency divider
             circuits},
   Journal = {Microw. J. (USA)},
   Volume = {32},
   Number = {3},
   Pages = {131 - 2},
   Year = {1989},
   Keywords = {aluminium compounds;field effect integrated
             circuits;frequency dividers;gallium arsenide;high electron
             mobility transistors;III-V semiconductors;indium
             compounds;integrated logic circuits;MMIC;Schottky gate field
             effect transistors;},
   Abstract = {The authors describe the design, fabrication and testing of
             high speed GaAs MESFET and AlInAs-GaInAs HEMT frequency
             divider circuits. GaAs MESFET static frequency dividers
             operate at frequencies up to 18 GHz, and GaAs MESFET dynamic
             dividers operate at frequencies up to 26.6 GHz. When the
             same static divider designs were implemented in
             AlInAs-GaInAs HEMT technology, the performance improved to
             frequencies up to 25.4 GHz. Electro-optic testing techniques
             were used to verify the performance of the GaAs MESFET
             dividers},
   Key = {3403466}
}

@article{3419258,
   Author = {Brown, A.S. and Delaney, M.J. and Singh,
             J.},
   Title = {The effect of inhibited growth kinetics on GaInAs and AlInAs
             alloy and interface quality},
   Journal = {J. Vac. Sci. Technol. B, Microelectron. Process. Phenom.
             (USA)},
   Volume = {7},
   Number = {2},
   Pages = {384 - 7},
   Address = {West Lafayette, IN, USA},
   Year = {1989},
   url = {http://dx.doi.org/10.1116/1.584756},
   Keywords = {aluminium compounds;gallium arsenide;III-V
             semiconductors;indium compounds;molecular beam epitaxial
             growth;semiconductor epitaxial layers;semiconductor
             growth;},
   Abstract = {Ga<sub>0.47</sub>In<sub>0.53</sub>As and
             Al<sub>0.48</sub>In<sub>0.52</sub>As alloys, lattice matched
             to InP substrates, are typically grown under conditions (low
             substrate temperature and high V/III flux ratios) which
             limit cation surface mobilities. For the (Al,Ga)As system,
             the growth of material with low-defect density and good
             microscopic surface morphology is dependent on highly mobile
             cations which can reach kink sites on the growing surface.
             In the (Al,In,Ga)As system, other factors such as the
             miscibility gap in the AlInAs phase diagram and the
             volatility of InAs component dominate the growth condition
             requirements. In order to determine the role kinetic
             limitations play in reducing the quality of GaInAs and
             AlInAs under `normal' molecular beam epitaxy growth
             conditions, epitaxial layers were grown at extremely low
             substrate temperatures and high V/III ratios to examine the
             sensitivity of materials properties to these growth
             conditions},
   Key = {3419258}
}

@article{3329494,
   Author = {Yu-Peng Hu and Petroff, P.M. and Xueyu Qian and Brown,
             A.S.},
   Title = {Substrate misorientation effects on the structure and
             electronic properties of GaInAs-AlInAs interfaces},
   Journal = {Appl. Phys. Lett. (USA)},
   Volume = {53},
   Number = {22},
   Pages = {2194 - 6},
   Year = {1988},
   url = {http://dx.doi.org/10.1063/1.100280},
   Keywords = {aluminium compounds;cathodoluminescence;gallium
             arsenide;III-V semiconductors;indium compounds;luminescence
             of inorganic solids;molecular beam epitaxial
             growth;semiconductor quantum wells;},
   Abstract = {The effects of substrate misorientation on the interface
             quality of Ga<sub>0.47</sub>In<sub>0.53</sub>As/Al<sub>048</sub>In<sub>0.52</sub>As
             quantum well structures grown by molecular beam epitaxy
             lattice matched on InP substrates have been investigated.
             Transmission electron microscopy and low-temperature (15 K)
             cathodoluminescence spectra have been used to characterize
             the GaInAs/AlInAs layers and analyze the effects of
             nucleation and growth kinetics on the heterojunction
             interface quality. The quantum well luminescence line shape
             correlates with the presence of compositional fluctuations
             in the AlInAs layers and thickness variations of the quantum
             wells for structures deposited on misoriented substrates.
             Rapid thermal annealing of these samples improves the
             quantum well luminescence characteristics},
   Key = {3329494}
}

@article{3292053,
   Author = {Brown, A.S. and Mishra, U.K. and Henige, J.A. and Delaney,
             M.J.},
   Title = {The effect of InP substrate misorientation on GaInAs-AlInAs
             interface and alloy quality},
   Journal = {J. Appl. Phys. (USA)},
   Volume = {64},
   Number = {7},
   Pages = {3476 - 80},
   Year = {1988},
   url = {http://dx.doi.org/10.1063/1.341482},
   Keywords = {aluminium compounds;gallium arsenide;III-V
             semiconductors;indium compounds;luminescence of inorganic
             solids;photoluminescence;semiconductor epitaxial
             layers;semiconductor quantum wells;},
   Abstract = {The quality of GaInAs-AlInAs epitaxial layers is found to be
             critically dependent on the degree of (100)-InP substrate
             misorientation. The alloy quality of both materials is
             improved when the substrate is misoriented 4&deg; off the
             (100). The heterojunction interface quality as determined by
             the full width at half-maximum of quantum-well
             photoluminescence is also improved when a substrate
             misoriented by 4&deg; is used. A degradation of both alloy
             and interface quality as compared to material on (100) InP
             is observed when the misorientation is 2&deg;. These effects
             are also observed for strained quantum-well
             structures},
   Key = {3292053}
}

@article{89034180723,
   Author = {Mishra, Umesh K. and Jensen, Joseph F. and Brown, April S. and Thompson, M.A. and Jelloian, L.M. and Beaubien, Randall
             S.},
   Title = {Ultra-high-speed digital circuit performance in 0.2-μm
             gate-length AlInAs/GaInAs HEMT technology.},
   Journal = {IEEE Electron Device Letters},
   Volume = {9},
   Number = {9},
   Pages = {482 - 484},
   Year = {1988},
   url = {http://dx.doi.org/10.1109/55.6952},
   Keywords = {LOGIC CIRCUITS;MICROWAVE DEVICES;},
   Abstract = {The fabrication of fifteen-stage ring oscillators and static
             flip-flop frequency dividers with 0.2-&mu;m gate-length
             AlInAs/GaInAs HEMT technology is described. The fabricated
             HEMT devices within the circuits demonstrated a
             g<sub>m</sub> transconductance of 750 mS/mm and a
             full-channel current of 850 mA/mm. The measured cutoff
             frequency of the device is 120 GHz. The shortest gate delay
             measured for buffered-FET-logic (BFL) ring oscillators at
             300 K was 9.3 ps at 66.7 mW/gate (fan-out = 1); fan-out
             sensitivity was 1.5 ps per fanout. The shortest gate delay
             measured for capacitively enhanced logic (CEL) ring
             oscillaltors at 300 K was 6.0 ps at 23.8 mW/gate (fan-out =
             1) with a fan-out sensitivity of 2.7 ps per fan-out. The CEL
             gate delay reduced to less than 5.0 ps with 11.35-mW power
             dissipation when measured at 77 K. The highest operating
             frequency for the static dividers was 26.7 GHz at 73.1 mW
             and 300 K.},
   Key = {89034180723}
}

@article{89075010800,
   Author = {Mishra, U.K. and Brown, A.S. and Rosenbaum, S.E. and Delaney, M.J. and Vaughn, S. and White, K.},
   Title = {Noise performance of submicrometer AlInAs-GaInAs
             HEMT's},
   Journal = {IEEE Transactions on Electron Devices},
   Volume = {35},
   Number = {12},
   Pages = {2441 -},
   Year = {1988},
   url = {http://dx.doi.org/10.1109/16.8861},
   Keywords = {Semiconducting Aluminum Compounds;Semiconducting Gallium
             Compounds;Millimeter Waves;},
   Abstract = {Record DC and RF performance of AlInAs-GaInAs lattice
             matched to InP HEMTs (high-electron-mobility transistors)
             with nominally 0.2- and 0.1-&mu;m gate lengths have been
             achieved. The devices were fabricated on material grown by
             MBE (molecular-beam epitaxy) on Fe-doped InP substrates. The
             epitaxial layers consisted of an undoped AlInAs spacer, a
             highly doped AlInAs donor layer, an undoped
             Schottky-enhancing AlInAs layer, and, finally, a highly
             doped GaInAs layer contact layer. 50-&mu;m-wide devices with
             0.2- and 0.1-&mu;m-long T-gates were fabricated. The
             0.2-&mu;m gate-length devices were tested at V-band. The
             single-state amplifier (waveguide to waveguide with no
             correction) exhibited a minimum noise figure F<sub>min</sub>
             of 1.8 dB with an associated gain 7.5 dB at 63.0 GHz. This
             translates to an amplifier noise measure of 2.10 dB. The
             corresponding device performance was a minimum noise figure
             of 1.4 dB with an associated gain of 8.5 dB. This
             corresponds to a device noise measure of 1.6
             dB.},
   Key = {89075010800}
}

@article{89054230190,
   Author = {Mishra, Umesh K. and Brown, April S.},
   Title = {InGaAs/AlInAs HEMT technology for millimeter wave
             applications},
   Journal = {Technical Digest - GaAs IC Symposium (Gallium Arsenide
             Integrated Circuit)},
   Pages = {97 - 100},
   Address = {Nashville, TN, USA},
   Year = {1988},
   url = {http://dx.doi.org/10.1109/GAAS.1988.11032},
   Keywords = {Semiconducting Gallium Compounds;Molecular Beam
             Epitaxy;Transistors;Integrated Circuits;Millimeter
             Waves;},
   Abstract = {AlInAS-GaInAs modulation-doped structures grown by MBE
             (molecular-beam epitaxy) on InP have demonstrated excellent
             electronic and optical properties. Extremely high sheet
             charge densities (n<sub>s</sub> ~5 &times; 10<sup>18</sup>
             cm<sup>-3</sup>) and room temperature mobilities (&mu; ~9500
             cm<sup>2</sup> V<sup>-1</sup> s<sup>-1</sup>) have been
             achieved. 0.1-m-gate-length HEMTs (high-electron-mobility
             transistors) have exhibited an f<sub>t</sub> (unity current
             gain cutoff frequency) ~170 GHz, whereas single stage
             amplifiers using 0.2-&mu;m-gate HEMTs have demonstrated a
             minimum noise figure of 0.8 dB and an associated gain of 8.7
             dB. Ring oscillators have demonstrated 6-ps switching speeds
             and static frequency dividers operated at 26.7 GHz at room
             temperature.},
   Key = {89054230190}
}

@article{89034180573,
   Author = {Jensen, Joseph F. and Mishra, U.K. and Brown, A.S. and Beaubien, R.S. and Thompson, M.A. and Jelloian,
             L.M.},
   Title = {25 GHz static frequency dividers in AlInAs-GaInAs HEMT
             technology.},
   Journal = {Digest of Technical Papers - IEEE International Solid-State
             Circuits Conference},
   Volume = {31},
   Pages = {268 - 269},
   Address = {San Francisco, CA, USA},
   Year = {1988},
   Keywords = {SEMICONDUCTING ALUMINUM COMPOUNDS;LOGIC CIRCUITS;},
   Abstract = {The authors describe the ultrahigh-speed performance of
             static flip-flop divide-by-two circuits implemented in both
             buffered FET logic (BFL) and capacitively enhanced logic
             (CEL) families utilizing 0.2-&mu;m gate-length
             Al<sub>.48</sub>In<sub>.52</sub>As-Ga<sub>.4
             7</sub>In<sub>.53</sub>As high-electron-mobility transistors
             (HEMT) fabricated on InP substrates. The dividers were
             tested on-wafer using a probe station at 300&deg;K
             temperature. The highest operating frequency at 25.4 GHz was
             achieved with a CEL divider. At this frequency the divider
             dissipated 63.8 mW. A BFL divider operated at 25.2 GHz with
             450 mW power dissipation.},
   Key = {89034180573}
}

@article{89095050186,
   Author = {Mishra, U.K. and Brown, A.S. and Rosenbaum,
             S.E.},
   Title = {DC and RF performance of 0.1 μm gate length
             Al.48In.52As-Ga.38In.62As
             pseudomorphic HEMT's},
   Journal = {Technical Digest - International Electron Devices
             Meeting},
   Pages = {180 - 183},
   Address = {San Francisco, CA, USA},
   Year = {1988},
   url = {http://dx.doi.org/10.1109/IEDM.1988.32784},
   Keywords = {Semiconducting Aluminum Compounds;},
   Abstract = {The authors report on the epitaxial layer design, device
             fabrication, and millimeter-wave performance of
             lattice-matched and pseudomorphic AlInAs-GaInAs HEMTs
             (high-electron-mobility transistors). The authors fabricated
             0.1-&mu;m gate length HEMTs using pseudomorphic
             Al<sub>0.48</sub>In<sub>0.52</sub>As-Ga<sub>0.38</sub>In<sub>0.62</sub>As
             modulation-doped epitaxial layers and compared them with
             lattice-matched Al<sub>0.48</sub>In<sub>0.52</sub>As-Ga<sub>0.47</sub>In<sub>0.53</sub>As
             HEMTs. The pseudomorphic HEMTs demonstrated an external
             f<sub>T</sub> (current-gain cutoff frequency) of 205 GHz,
             which is the first demonstration of a transistor with an
             f<sub>T</sub> gt; 200 GHz. The V-band noise figure of an
             amplifier built with the lattice-matched, pseudomorphic
             HEMTs was 1.3 dB and 1.5 dB, respectively. The associated
             gain was 9.5 dB and 8.0 dB, respectively.},
   Key = {89095050186}
}

@article{88070099662,
   Author = {Mishra, Umesh K. and Brown, A. S. and Jelloian, L. M. and Hackett, L. H. and Delaney, M. J.},
   Title = {HIGH-PERFORMANCE SUBMICROMETER ALINAS-GAINAS
             HEMT'S.},
   Journal = {IEEE Electron Device Letters},
   Volume = {9},
   Number = {1},
   Pages = {41 - 43},
   Year = {1988},
   url = {http://dx.doi.org/10.1109/55.20407},
   Keywords = {SEMICONDUCTING GALLIUM ARSENIDE;SEMICONDUCTING ALUMINUM
             COMPOUNDS;SEMICONDUCTING INDIUM COMPOUNDS;},
   Abstract = {The performance of long (1. 3- mu m) and short (0. 3- mu m)
             gate-length Al//0//. //4//8In//0//. //5//2 As-Ga//0//.
             //4//7In//0//. //5//3 high-electron-mobility transistors
             (HEMTs) is reported. Transconductances of 465 and 650 mS/mm,
             respectively, were achieved. The 0. 3- mu m-long gate-length
             device exhibited an f//t greater than 80 GHz. These results
             are attributed to the excellent electronic properties of the
             AlInAs-GaInAs modulation-doped system.},
   Key = {88070099662}
}

@article{89095050346,
   Author = {Mishra, U.K. and Jensen, J.F. and Rensch, D.B. and Brown,
             A.S. and Pierce, M.W. and McCray, L.G. and Kargodorian, T.V. and Hoefer, W.S. and Kastris, R.E.},
   Title = {48 GHz AlInAs/GaInAs heterojunction bipolar
             transistors},
   Journal = {Technical Digest - International Electron Devices
             Meeting},
   Pages = {873 - 875},
   Address = {San Francisco, CA, USA},
   Year = {1988},
   url = {http://dx.doi.org/10.1109/IEDM.1988.32948},
   Keywords = {Semiconducting Aluminum Compounds;Semiconducting Gallium
             Compounds;Band Structure;Molecular Beam Epitaxy;Microwave
             Measurements;},
   Abstract = {The authors report on the DC and RF performance of
             self-aligned Al<sub>0.48</sub>In<sub>0.52</sub>As-Ga<sub>0.47</sub>In<sub>0.53</sub>As
             heterojunction bipolar transistors. The properties that make
             the AlInAns/GalnAs material system extremely attractive for
             heterojunction bipolar transistors are discussed. The
             epitaxial layer structure was grown by molecular beam
             epitaxy on semi-insulating InP. The device structure is
             shown in cross section. A large variety of heterojunction
             bipolar transistor devices with different emitter sizes and
             with different numbers of emitter fingers have been
             fabricated. The common emitter characteristics of a single
             5-&mu;m &times; 5-&mu;m emitter are reported.},
   Key = {89095050346}
}

@article{89054230617,
   Author = {Mishra, Umesh K. and Brown, April S. and Rosenbaum, S.E. and Hooper, C.E. and Pierce, M.W. and Delaney, M.J. and Vaughn,
             S. and White, K.},
   Title = {Microwave performance of AlInAs-GaInAs HEMT's with 0.2- and
             0.1-μm gate length},
   Journal = {IEEE Electron Device Letters},
   Volume = {9},
   Number = {12},
   Pages = {647 - 649},
   Year = {1988},
   url = {http://dx.doi.org/10.1109/55.20424},
   Keywords = {Molecular Beam Epitaxy;Semiconducting Indium
             Compounds;Amplifiers;},
   Abstract = {The millimeter-wave performance is reported for
             Al<sub>0.48</sub>In<sub>0.52</sub>As-Ga<sub>0.47</sub>In<sub>0.53</sub>As
             high-electron-mobility transistors (HEMTs) with 0.2-&mu;m
             and 0.1-&mu;m-long gates on material grown by molecular-beam
             epitaxy on semi-insulating InP substrates. Devices of
             50-&mu;m width exhibited extrinsic transconductances of 800
             and 1080 mS/mm, respectively. External f<sub>T</sub>
             (maximum frequency of oscillation) of 120 and 135 GHz,
             respectively, were measured. A maximum f<sub>T</sub> of 170
             GHz was obtained from a 0.1 &times; 200-&mu;m<sup>2</sup>
             device. A minimum noise figure of 0.8 dB and associated gain
             of 8.7 dB were obtained from a single-stage amplifier at
             frequencies near 63 GHz.},
   Key = {89054230617}
}

@article{3158819,
   Author = {Brown, A.S. and Henige, J.A. and Delaney,
             M.J.},
   Title = {Photoluminescence broadening mechanisms in high quality
             GaInAs-AlInAs quantum well structures},
   Journal = {Appl. Phys. Lett. (USA)},
   Volume = {52},
   Number = {14},
   Pages = {1142 - 3},
   Year = {1988},
   url = {http://dx.doi.org/10.1063/1.99185},
   Keywords = {aluminium compounds;gallium arsenide;III-V
             semiconductors;indium compounds;luminescence of inorganic
             solids;photoluminescence;semiconductor superlattices;},
   Abstract = {GaInAs-AlInAs quantum well structures have been analyzed by
             low-temperature photoluminescence. The photoluminescence
             linewidth (full width at half-maximum) of thicker quantum
             wells (&gt;10 nm) grown directly on AlInAs buffer layers
             shows that an inverse relationship exists between interface
             quality and AlInAs alloy quality in agreement with the
             theoretical analysis of J. Singh, S. Dudley, B. Davies, and
             K.K. Bajaj [J. Appl. Phys. <b>60</b>, 3167 (1986)]. Thinner
             wells show much improved luminescence properties due to a
             growth of previous wells},
   Key = {3158819}
}

@article{3189252,
   Author = {Brown, A.S. and Mishra, U.K. and Henige, J.A. and Delaney,
             M.J.},
   Title = {The impact of epitaxial layer design and quality on
             GaInAs/AlInAs high-electron-mobility transistor
             performance},
   Journal = {J. Vac. Sci. Technol. B, Microelectron. Process. Phenom.
             (USA)},
   Volume = {6},
   Number = {2},
   Pages = {678 - 81},
   Address = {Los Angeles, CA, USA},
   Year = {1988},
   url = {http://dx.doi.org/10.1116/1.584389},
   Keywords = {aluminium compounds;gallium arsenide;high electron mobility
             transistors;III-V semiconductors;indium compounds;semiconductor
             epitaxial layers;},
   Abstract = {Ga<sub>0.47</sub>In<sub>0.53</sub>As-Al<sub>0.48</sub>In<sub>0.52</sub>As
             high-electron-mobility transistors (HEMT's) exhibit high
             transconductance and gain because of the high conductivities
             achievable in the structures. The effect of epitaxial layer
             design (spacer thickness and active channel thickness) on
             conductivity is examined. Device characteristics are
             examined as a function of active channel thickness. Reduced
             output conductance is observed for a 200 &Aring; channel,
             but with a reduced transconductance},
   Key = {3189252}
}

@article{3287288,
   Author = {Jensen, J.F. and Mishra, U.K. and Brown, A.S. and Beuabien,
             R.S. and Thompson, M.A. and Jelloian, L.M.},
   Title = {25 GHz static frequency dividers in AlInAs-GaInAs HEMT
             technology},
   Journal = {1988 IEEE International Solid-State Circuits Conference.
             Digest of Technical Papers. 31st ISSCC. First
             Edition},
   Pages = {268 - 9},
   Address = {San Francisco, CA, USA},
   Year = {1988},
   Keywords = {aluminium compounds;field effect integrated
             circuits;flip-flops;frequency convertors;gallium
             arsenide;high electron mobility transistors;III-V
             semiconductors;indium compounds;integrated logic
             circuits;},
   Abstract = {The authors describe the ultrahigh-speed performance of
             static flip-flop divide-by-two circuits implemented in both
             buffered FET logic (BFL) and capacitatively enhanced logic
             (CEL) families utilizing 0.2-&mu;m gate-length
             Al<sub>0.48</sub>In<sub>0.52</sub>As-Ga<sub>0.47</sub>In<sub>0.53</sub>As
             high-electron-mobility transistors (HEMT) fabricated on InP
             substrates. The dividers were tested on-wafer using a probe
             station at 300 K temperature. The highest operating
             frequency at 25.4 GHz was achieved with a CEL divider. At
             this frequency the divider dissipated 63.8 mW. A BFL divider
             operated at 25.2 GHz with 450 mW power dissipation},
   Key = {3287288}
}

@article{3132929,
   Author = {Mishra, U.K. and Brown, A.S. and Jelloian, L.M. and Hackett,
             L.H. and Delaney, M.J.},
   Title = {High performance submicrometer AlInAs-GaInAs
             HEMTs},
   Journal = {IEEE Trans. Electron Devices (USA)},
   Volume = {ED-34},
   Number = {11},
   Pages = {2358 -},
   Address = {Santa Barbara, CA, USA},
   Year = {1987},
   Keywords = {aluminium compounds;gallium arsenide;high electron mobility
             transistors;III-V semiconductors;indium compounds;molecular
             beam epitaxial growth;semiconductor epitaxial
             layers;},
   Abstract = {The performance of long-gate and short-gate
             Al<sub>0.48</sub>In<sub>0.52</sub>As-Ga<sub>0.47</sub>In<sub>0.53</sub>As
             HEMTs fabricated in high-quality MBE (molecular-beam-epitaxially)-grown
             material is discussed. The device structures were grown
             lattice-matched to semi-insulating InP substrates. The
             typical layer consists of an AlInAs buffer, followed by an
             undoped GaInAs channel, a thin undoped AlInAs space, a doped
             AlInAs donor layer, an undoped AlInAs barrier layer,
             followed finally by an n<sup>+</sup> GaInAs cap layer. The
             two epitaxial layer designs (wafers <i>A</i> and <i>B</i>)
             investigated to date differed only in the GaInAs channel
             thickness, 80 nm for wafer <i>A</i> and 40 nm for wafer
             <i>B</i>. Both the wafers exhibited sheet charge density
             <i>n</i><sub>s</sub> and mobility values of approximately
             3.5&times;10<sup>12</sup> cm<sup>-2</sup> and 9500
             cm<sup>2</sup> V<sup>-1</sup> S<sup>-1</sup> at 300 K and
             3&times;10<sup>12</sup> cm<sup>-2</sup> and 30000
             cm<sup>2</sup> V<sup>-1</sup> S<sup>-1</sup> at 77 K.
             Devices with 1.3-&mu;m gate length were fabricated on both
             wafers. Transconductances of over 465 mS/mm at 300 K were
             measured on several devices on both wafers},
   Key = {3132929}
}

@article{87050067453,
   Author = {Palmateer, L. F. and Tasker, P. J. and Itoh, T. and Brown,
             A. S. and Wicks, G. W. and Eastman, L. F.},
   Title = {MICROWAVE CHARACTERISATION OF 1 mu m-GATE Al//0//.
             //4//8In//0//. //5//2As/Ga//0//. //4//7 In//0//.
             //5//3As/InP MODFETs.},
   Journal = {Electronics Letters},
   Volume = {23},
   Number = {1},
   Pages = {53 - 55},
   Year = {1987},
   Keywords = {MICROWAVE DEVICES;SEMICONDUCTING ALUMINUM COMPOUNDS -
             Applications;SEMICONDUCTING GALLIUM COMPOUNDS -
             Applications;SEMICONDUCTING INDIUM COMPOUNDS -
             Applications;},
   Abstract = {We report microwave characterisation of nominally 1 mu
             m-gate Al//0//. //4//8In//0//. //5//2As/Ga//0//. //4//7
             In//0//. //5//3As (lattice-matched to InP) modulation-doped
             field-effect transistors (MODFETs). The Al//0//.
             //4//8In//0//. //5//2As/Ga//0//. //4//7 In//0//. //5//3As
             MODFETs have room-temperature extrinsic transconductances as
             high as 250mS/mm. A room-temperature unity-current-gain
             cutoff frequency (f//T) of 22 GHz and an f//m//a//x of 35
             GHz were measured for a 1. 2 mu m-gate MODFET.},
   Key = {87050067453}
}

@article{3157433,
   Author = {Mishra, U.K. and Jensen, J.F. and Brown, A.S. and Beaubien,
             R.S. and Jelloian, L.M.},
   Title = {Ultra-high speed AlInAs-GaInAs HEMT technology},
   Journal = {1987 International Electron Devices Meeting, IEDM. Technical
             Digeset (Cat. No.87CH2515-5)},
   Pages = {879 - 80},
   Address = {Washington, DC, USA},
   Year = {1987},
   Keywords = {aluminium compounds;field effect integrated circuits;gallium
             arsenide;high electron mobility transistors;III-V
             semiconductors;indium compounds;},
   Abstract = {The authors report on the ultrahigh-speed performance of
             15-stage ring oscillators utilizing 0.2-&mu;m gate length
             Al<sub>0.48</sub>In<sub>0.52</sub>As-Ga<sub>0.47</sub>In<sub>0.53</sub>As
             HEMTs (high-electron-mobility transistors) fabricated on InP
             substrates. The AlInAs-GaInAs modulation-doped system is
             extremely attractive for high-speed digital and analog
             applications. Fifteen-stage ring oscillators were fabricated
             using both buffered FET logic (BFL) and capacitively
             enhanced logic (CEL) schemes and their performance was
             measured at room temperature (300 K). The shortest gate
             delay measured for BFL was 9.26 ps (fanout=1) with 66.7
             mW/gate power dissipation. The shortest CEL gate delay was
             7.21 ps (fanout=1) at 24.5 mW. The measured fanout,
             sensitivities for BFL and CEL are 1.5 ps and 2.7 ps per
             fanout respectively. As expected, CEL gates are faster than
             BFL gates at low fanout, but CEL is more sensitive to fanout
             because its output is not buffered},
   Key = {3157433}
}

@article{3157573,
   Author = {Mishra, U.K. and Beaubien, R.S. and Delaney, M.J. and Brown,
             A.S. and Hackett, L.H.},
   Title = {Low noise 0.1-μm GaAs MESFETS by MBE},
   Journal = {Proceedings IEEE/Cornell Conference on Advanced Concepts in
             High Speed Semiconductor Devices and Circuits (Cat.
             No.87CH2526-2)},
   Pages = {177 - 89},
   Address = {Ithaca, NY, USA},
   Year = {1987},
   Keywords = {III-V semiconductors;molecular beam epitaxial
             growth;Schottky gate field effect transistors;semiconductor
             epitaxial layers;},
   Abstract = {Demonstrates that by applying proper scaling techniques,
             high-performance 0.1-&mu;m-gate-length GaAs MESFETs can be
             routinely fabricated. The noise performance of these devices
             compares favorably with that of state-of-the-art HEMT
             structures. The performance is limited at the present time
             by the parasitic device elements, namely, the source
             resistance, gate resistance, drain resistance, and output
             conductance. It is clear that using T-gate structures and
             further optimization of the epitaxial profiles will address
             these issues in the future},
   Key = {3157573}
}

@article{88040055373,
   Author = {Mishra, U. K. and Brown, A. S. and Jelloian, L. M. and Hackett, L. H. and Delaney, M. J.},
   Title = {HIGH PERFORMANCE SUBMICROMETER ALINAS-GAINAS
             HEMT'S.},
   Journal = {IEEE Transactions on Electron Devices},
   Volume = {ED-34},
   Number = {11},
   Pages = {4 -},
   Year = {1987},
   Keywords = {SEMICONDUCTOR DEVICES, FIELD EFFECT - Fabrication;MOLECULAR
             BEAM EPITAXY - Applications;},
   Abstract = {The performance of long-gate and short-gate Al//0//.
             //4//8In//0//. //5//2As-Ga//0//. //4//7In//0//. //5//3As
             HEMTs fabricated on high-quality MBE (molecular-beam-epitaxially)-grown
             material is discussed. The device structures were grown
             lattice-matched to semi-insulating InP substrates. The
             typical layer consisted of an AlInAs buffer, followed by an
             undoped GaInAs channel, a thin undoped AlInAs space, a doped
             AlInAs donor layer, an undoped AlInAs barrier layer,
             followed finally by an n** plus GaInAs cap layer. The two
             epitaxial layer designs (wafers A & B) investigated to date
             differed only in the GaInAs channel thickness, 80 nm for
             wafer A and 40 nm for wafer B. Devices with 1. 3- mu m gate
             length were fabricated on both wafers. Transconductances of
             over 465 mS/mm at 300 K were measured on several devices on
             both wafers. There was no strong correlation between the
             channel thickness and the I-V characteristics. Devices with
             approximately 0. 30- mu m gate length were fabricated on
             wafer A. They exhibited transconductances of over 650 mS/mm
             at room temperature. The maximum drain current density was
             approimately 600 mA/mm which is twice the current density
             obtainable from single heterojunction AlGaAs-GaAs devices.
             This predicts a high fan-out capability for AlInAs-GaInAs
             HEMTs.},
   Key = {88040055373}
}

@article{88080117571,
   Author = {Mishra, U. K. and Beaubien, R. S. and Delaney, M. J. and Brown, A. S. and Hackett, L. H.},
   Title = {LOW NOISE 0. 1- mu m GaAs MESFETS BY MBE.},
   Pages = {177 - 189},
   Address = {Ithaca, NY, USA},
   Year = {1987},
   Keywords = {SEMICONDUCTOR DEVICES, FIELD EFFECT - Noise;SEMICONDUCTING
             GALLIUM ARSENIDE - Applications;MOLECULAR BEAM EPITAXY -
             Applications;},
   Abstract = {It is demonstrated that by applying proper scaling
             techniques, high-performance 0. 1- mu m-gate-length GaAs
             MESFETs can be routinely fabricated. The noise performance
             of these devices compares favorably with that of
             state-of-the-art HEMT structures. The performance is limited
             at the present time by the parasitic device elements,
             namely, the source resistance, gate resistance, drain
             resistance, and output conductance. It is clear that using
             T-gate structures and further optimization of the epitaxial
             profiles will address these issues in the
             future.},
   Key = {88080117571}
}

@article{3011865,
   Author = {Griem, H.T. and Hsieh, K.H. and D'Haenens, I.J. and Delaney,
             M.J. and Henige, J.A. and Wicks, G.W. and Brown,
             A.S.},
   Title = {Molecular-beam epitaxial growth and characterization of
             strained GaInAs/AlInAs and InAs/GaAs quantum well
             two-dimensional electron gas field-effect
             transistors},
   Journal = {J. Vac. Sci. Technol. B, Microelectron. Process. Phenom.
             (USA)},
   Volume = {5},
   Number = {3},
   Pages = {785 - 91},
   Address = {Cambridge, MA, USA},
   Year = {1987},
   url = {http://dx.doi.org/10.1116/1.583751},
   Keywords = {aluminium compounds;carrier mobility;gallium arsenide;high
             electron mobility transistors;III-V semiconductors;indium
             compounds;molecular beam epitaxial growth;semiconductor
             growth;semiconductor superlattices;},
   Abstract = {Defect free strained layer epitaxy opens possibilities for
             further improvement on the quantum well two-dimensional
             electron gas (TEG) structures grown using the GaInAs/AlInAs
             on InP materials system. Increased freedom with composition
             allows for optimizing certain properties of the structure,
             such as, the conduction edge discontinuity which controls
             the maximum sheet concentration (<i>n</i><sub>s</sub>); and
             the electron effective mass which influences the speed of
             the structure. These enhancements can be made, respectively,
             by increasing the Al concentration in the AlInAs and/or by
             decreasing the Ga concentration in the GaInAs. The maximum
             amount of strain which can be incorporated into the
             unrelaxed material sets an upper limit on the compositional
             tolerances. The tolerances will be shown to be large for the
             AlInAs and the active TEG GaInAs region. The compositions
             are obtained using the intensity oscillations observed in
             the reflective high-energy electron diffraction (RHEED)
             specular beam during growth of GaAs, AlAs, and subsequently
             GaInAs and AlInAs on GaAs. X-ray rocking curves and
             photoluminescence (PL) are used to verify the calibrations
             for growths on InP. The dependency of the mobility on strain
             is shown. In As/GaAs superlattice quantum well TEG
             structures were grown and characterized. Exceptionally high
             Si doping levels roughly 20 times the maximum obtained with
             Al<sub>0.25</sub>Ga<sub>0.75</sub>As have been achieved with
             lattice matched AlInAs},
   Key = {3011865}
}

@article{2860841,
   Author = {Brown, A.S. and Itoh, T. and Wicks, G. and Eastman,
             L.F.},
   Title = {Si diffusion in GaInAs-AlInAs high-electron-mobility
             transistor structures},
   Journal = {J. Appl. Phys. (USA)},
   Volume = {60},
   Number = {10},
   Pages = {3495 - 8},
   Year = {1986},
   url = {http://dx.doi.org/10.1063/1.337600},
   Keywords = {aluminium compounds;diffusion in solids;gallium
             arsenide;Hall effect;high electron mobility
             transistors;III-V semiconductors;indium compounds;secondary
             ion mass spectra;semiconductor doping;silicon;},
   Abstract = {Secondary-ion-mass spectrometry, Hall-effect measurements,
             and DC <i>I</i>-<i>V</i> characteristics of 1-&mu;m
             Ga<sub>0.47</sub>In<sub>0.53</sub>As-Al<sub>0.48</sub>In<sub>0.52</sub>As
             high-electron mobility transistor structures indicate that
             significant diffusion of Si can occur in these layers. The
             source of the Si is both the intentional Si used for
             modulation doping of the devices, and Si which is an
             unintentional impurity in the Fe-doped InP substrates on
             which the layer is grown. Preannealing and polishing the
             substrates can lessen the effect},
   Key = {2860841}
}

@article{2729119,
   Author = {Itoh, T. and Brown, A.S. and Camnitz, L.H. and Wicks, G.W. and Berry, J.D. and Eastman, L.F.},
   Title = {Depletion- and enhancement-mode Al0.48In0.52As/Ga0.47In0.53As
             modulation-doped field-effect transistors with a recessed
             gate structure},
   Journal = {Gallium Arsenide and Related Compounds 1985. Proceedings of
             the Twelfth International Symposium},
   Pages = {571 - 6},
   Address = {Karuizawa, Japan},
   Year = {1986},
   Keywords = {aluminium compounds;gallium arsenide;high electron mobility
             transistors;III-V semiconductors;indium compounds;},
   Abstract = {Depletion- and enhancement-mode Al<sub>0.48</sub>In<sub>0.52</sub>As/Ga<sub>0.47</sub>In<sub>0.53</sub>As
             modulation doped field effect transistors with 1 &mu;m gate
             length have been successfully fabricated by employing a
             recessed gate structure and an undoped Al<sub>0.48</sub>In<sub>0.52</sub>As
             top layer beneath the gate metal. The fabricated devices
             exhibited good DC characteristics with a high
             transconductance and a complete pinch-off characteristic.
             For both depletion- and enhancement-mode devices, the
             transconductances of 250 mS/mm and 300 mS/mm have been
             measured at 300K and 77K, respectively},
   Key = {2729119}
}

@article{87100161213,
   Author = {Griem, H. T. and Hsieh, K. H. and D'Haenens, I. J. and Delaney, M. J. and Henige, J. A. and Wicks, G. W. and Brown,
             A. S.},
   Title = {CHARACTERIZATION OF STRAINED GaInAs/AlInAs QUANTUM WELL
             TEGFETS GROWN BY MOLECULAR BEAM EPITAXY.},
   Journal = {Journal of Crystal Growth},
   Volume = {81},
   Number = {1-4},
   Pages = {383 - 390},
   Year = {1986},
   url = {http://dx.doi.org/10.1016/0022-0248(87)90421-0},
   Keywords = {MOLECULAR BEAM EPITAXY;SEMICONDUCTING GALLIUM
             COMPOUNDS;},
   Abstract = {Defect free strained layer epitaxy opens possibilities for
             further improvement on the quantum well two-dimensional
             electron gas FET (TEGFET) structures grown using the
             GaInAs/AlInAs on InP materials system. Increased freedom
             with composition allows for optimizing certain properties of
             the structure, such as, the conduction edge discontinuity
             which controls the maximum sheet concentration (n//s); and
             the electron effective mass which influences the speed of
             the structure. These enhancements can be made, respectively,
             by increasing the Al concentration in the AlInAs and/or by
             decreasing the Ga concentration in the GaInAs. The maximum
             amount of strain which can be incorporated into the
             unrelaxed material sets an upper limit on the compositional
             tolerances. The tolerances are large for the AlInAs and the
             active TEG GaInAs region. The compositions are obtained
             using the intensity oscillations observed in the reflection
             high energy electron diffraction (RHEED) specular beam
             during growth of GaAs, AlAs, and subsequently GaInAs and
             AlInAs on GaAs. X-ray rocking curves and photoluminescence
             (PL) are used to verify the calibrations for growths on
             InP.},
   Key = {87100161213}
}

@article{2933461,
   Author = {Mishra, U.K. and Beaubien, R.S. and Delaney, M.J. and Brown,
             A.S. and Hackett, L.H.},
   Title = {MBE grown GaAs MESFETs with ultra-high gm and
             fT},
   Journal = {International Electron Devices Meeting 1986. Technical
             Digest (Cat. No.86CH2381-2)},
   Pages = {829 - 31},
   Address = {Los Angeles, CA, USA},
   Year = {1986},
   Keywords = {gallium arsenide;III-V semiconductors;molecular beam
             epitaxial growth;Schottky gate field effect
             transistors;solid-state microwave devices;},
   Abstract = {The authors report on the design, fabrication and test
             performance of GaAs MESFETs with 0.1-&mu;m gate length. The
             devices were fabricated on epitaxial material grown by
             molecular beam epitaxy (MBE) on a Riber 2300 system. The
             main features of the design are discussed},
   Key = {2933461}
}

@article{2716244,
   Author = {Brown, A.S. and Wicks, G.W. and Eastman,
             L.F.},
   Title = {Mn redistribution in doped GaInAs},
   Journal = {J. Vac. Sci. Technol. B, Microelectron. Process. Phenom.
             (USA)},
   Volume = {4},
   Number = {2},
   Pages = {543 - 4},
   Address = {Minneapolis, MN, USA},
   Year = {1986},
   url = {http://dx.doi.org/10.1116/1.583424},
   Keywords = {electromigration;gallium arsenide;III-V semiconductors;impurity
             distribution;indium compounds;secondary ion mass
             spectra;semiconductor epitaxial layers;},
   Abstract = {Summary for only given. The authors discuss some aspects of
             the diffusion behavior of Mn in the presence of electric
             fields. Impurity profiles were measured by using secondary
             ion mass spectrometry (SIMS). No matrix effects exist for Mn
             detection in either <i>p</i>- or <i>n</i>-type GaInAs, so
             the data were interpreted in a straightforward manner by
             comparison with ion-implanted standards},
   Key = {2716244}
}

@article{85070089648,
   Author = {Brown, A. S. and Palmateer, S. C. and Wicks, G. W. and Eastman, L. F. and Calawa, A. R.},
   Title = {BEHAVIOR OF UNINTENTIONAL IMPURITIES IN Ga//0//.
             //4//7In//0//. //5//3As GROWN BY MBE.},
   Journal = {Journal of Electronic Materials},
   Volume = {14},
   Number = {3},
   Pages = {367 - 378},
   Year = {1985},
   Keywords = {SEMICONDUCTOR MATERIALS - Impurities;MOLECULAR CRYSTALS -
             Epitaxial Growth;SEMICONDUCTING INDIUM COMPOUNDS - Charge
             Carriers;MOLECULAR BEAMS;},
   Abstract = {A number of factors contribute to the high n-type background
             carrier concentration (high 10**1**5 to low 10**1**6 cm**
             minus **3) measured in MBE Ga//0//. //4//7In//0//. //5//3As
             lattice-matched to InP. The results of this study indicate
             that the outdiffusion of impurities from InP substrates into
             GaInAs epitaxial layers can account for as much as
             two-thirds of the background carrier concentration and can
             reduce mobilities by as much as 40%. These impurities and/or
             defects can be gettered at the surfaces of the InP by heat
             treatment and then removed by polishing. The GaInAs
             epitaxial layers grown on the heat-treated substrates have
             significantly improved electrical properties. Hall and SIMS
             measurements indicate that both donors and acceptors
             outdiffuse into the epitaxial layers during growth resulting
             in heavily compensated layers with reduced mobilities. The
             dominant donor species was idenfified by SIMS as Si, and the
             dominant acceptors as Fe, Cr and Mn.},
   Key = {85070089648}
}

@article{2759081,
   Author = {Itoh, T. and Brown, A.S. and Camnitz, L.H. and Wicks, G.W. and Berry, J.D. and Eastman, L.F.},
   Title = {A recessed gate Al0.48In0.52As/Ga0.47In0.53As
             modulation doped field effect transistor},
   Journal = {Proceedings of the IEEE/Cornell Conference on Advanced
             Concepts in High Speed Semiconductor Devices and Circuits
             (Cat. No.85CH2173-3)},
   Pages = {92 - 101},
   Address = {Ithaca, NY, USA},
   Year = {1985},
   Keywords = {aluminium compounds;gallium arsenide;high electron mobility
             transistors;III-V semiconductors;indium compounds;},
   Abstract = {1-&mu;m gate Al<sub>0.48</sub>In<sub>0.52</sub>As/Ga<sub>0.47</sub>In<sub>0.53</sub>As
             modulation-doped field effect transistors have been
             successfully fabricated by using a recessed gate structure
             and an undoped Al<sub>0.48</sub>In<sub>0.52</sub>As top
             layer beneath the gate metal. The fabricated devices
             exhibited good DC characteristics with a high
             transconductance and a complete pinch-off characteristic. DC
             transconductances of 200 mS/mm and 265 mS/mm have been
             measured at 300K and 77K, respectively},
   Key = {2759081}
}

@article{2576615,
   Author = {Brown, A.S. and Palmateer, S.C. and Wicks, G.W. and Eastman,
             L.F. and Calawa, A.R. and Hitzman, C.},
   Title = {The heat treatment of Fe-doped InP substrates for the growth
             of higher purity Ga0.47In0.53As by
             MBE},
   Journal = {Semi-Insulating III-V materials},
   Pages = {36 - 40},
   Address = {Kah-nee-ta, OR, USA},
   Year = {1984},
   Keywords = {carrier density;carrier mobility;gallium arsenide;Hall
             effect;heat treatment;III-V semiconductors;impurities;indium
             compounds;iron;molecular beam epitaxial growth;semiconductor
             epitaxial layers;semiconductor growth;substrates;},
   Abstract = {Impurity outdiffusion, in particular Fe, Mn, and Cr, from
             Fe-doped InP into GaInAs epitaxial layers during molecular
             beam epitaxy growth can account for as much as two-thirds of
             the carrier concentration and can reduce electron mobilities
             by as much as 40%. By heating the InP before growth and then
             polishing to remove the impurities which have been gettered
             at the surface, epitaxial layers with unintentional carrier
             concentrations less sensitive to substrate temperature and
             higher mobilities can be obtained. Fe-doped InP has been
             annealed under pure H<sub>2</sub>, a 92% Ar-8% H<sub>2</sub>
             mixture, and a PH<sub>3</sub> ambient to relate the
             efficiency of impurity gettering to the ambient heating.
             Hall measurements show that the carrier mobilities in
             epitaxial layers grown on InP annealed in a pure
             H<sub>2</sub> ambient increase more than in those layers
             grown on InP heated in an Ar-H<sub>2</sub> mixture. This
             suggests that the formation of phosphorus vacancies aids the
             surface gettering of outdiffusing impurities},
   Key = {2576615}
}

@article{85010008414,
   Author = {Brown, A. S. and Wicks, G. W. and Eastman, L. F. and Palmateer, S. C.},
   Title = {DEPENDENCE OF ELECTRICAL CHARACTERISTICS OF MBE Ga//0//.
             //4//7In//0//. //5//3As PLANAR DOPED BARRIERS ON InP
             SUBSTRATES.},
   Journal = {Journal of Vacuum Science & Technology B: Microelectronics
             Processing and Phenomena},
   Volume = {2},
   Number = {2},
   Pages = {194 - 196},
   Address = {Atlanta, GA, USA},
   Year = {1983},
   url = {http://dx.doi.org/10.1116/1.582777},
   Keywords = {SEMICONDUCTING GALLIUM COMPOUNDS;SEMICONDUCTING INDIUM
             COMPOUNDS;HEAT TREATMENT;ELECTRIC PROPERTIES;},
   Abstract = {The potential barrier height in planar-doped barrier
             structures is particularly sensitive to the concentration of
             background impurities present in the nominally undoped
             regions. MBE grown GaInAs lattice matched to InP typically
             has n-type background carrier concentrations in the 10**1**6
             cm** minus **3 range. This high level can cause lowering of
             the barrier to near zero. By subjecting the InP substrates
             to a heat treatment process before growth in order to
             inhibit impurity outdiffusion higher purity GaInAs can be
             grown and planar-doped barrier devices with more
             reproducible characteristics are expected.},
   Key = {85010008414}
}


Duke University * Pratt * Reload * Login