Publications by Krishnendu Chakrabarty.

search .

Papers Published

  1. Wu, X; Falkenstern, P; Chakrabarty, K; Xie, Y, Scan-chain design and optimization for three-dimensional integrated circuits, Acm Journal on Emerging Technologies in Computing Systems, vol. 5 no. 2 (July, 2009), pp. 1-26, Association for Computing Machinery (ACM) [doi] .
    (last updated on 2022/12/30)

    Abstract:
    Scan chains are widely used to improve the testability of integrated circuit (IC) designs and to facilitate fault diagnosis. For traditional 2D IC design, a number of design techniques have been proposed in the literature for scan-chain routing and scan-cell partitioning. However, these techniques are not effective for three-dimensional (3D) technologies, which have recently emerged as a promising means to continue technology scaling. In this article, we propose two techniques for designing scan chains in 3D ICs, with given constraints on the number of through-silicon-vias (TSVs). The first technique is based on a genetic algorithm (GA), and it addresses the ordering of cells in a single scan chain. The second optimization technique is based on integer linear programming (ILP); it addresses single-scan-chain ordering as well as the partitioning of scan flip-flops into multiple scan chains. We compare these two methods by conducting experiments on a set of ISCAS'89 benchmark circuits. The first conclusion obtained from the results is that 3D scan-chain optimization achieves significant wire-length reduction compared to 2D counterparts. The second conclusion is that the ILP-based technique provides lower bounds on the scan-chain interconnect length for 3D ICs, and it offers considerable reduction in wire-length compared to the GA-based heuristic method. © 2009 ACM.